CN1768418B - 用于微电子器件的有机硅酸盐树脂配方 - Google Patents

用于微电子器件的有机硅酸盐树脂配方 Download PDF

Info

Publication number
CN1768418B
CN1768418B CN2004800089433A CN200480008943A CN1768418B CN 1768418 B CN1768418 B CN 1768418B CN 2004800089433 A CN2004800089433 A CN 2004800089433A CN 200480008943 A CN200480008943 A CN 200480008943A CN 1768418 B CN1768418 B CN 1768418B
Authority
CN
China
Prior art keywords
silane
alkyl
layer
organic
acyloxy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2004800089433A
Other languages
English (en)
Other versions
CN1768418A (zh
Inventor
K·K·拜克里卡尔
S·冯
J·E·黑茨内尔
J·M·马厄
M·E·米尔斯
P·J·波帕
R·J·斯特里特马特
L·R·威尔逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Global Technologies LLC
Original Assignee
Dow Global Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Global Technologies LLC filed Critical Dow Global Technologies LLC
Publication of CN1768418A publication Critical patent/CN1768418A/zh
Application granted granted Critical
Publication of CN1768418B publication Critical patent/CN1768418B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Paints Or Removers (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Laminated Bodies (AREA)

Abstract

在电子器件的制造中用来形成一层或多层的可固化的有机硅酸盐组合物,它包括:(a)具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基的硅烷;b)具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基的硅烷;(c)潜在酸催化剂和(d)任选的,具有至少一个与硅原子结合的C1-C6烷基的烷氧基或者酰氧基的硅烷。

Description

用于微电子器件的有机硅酸盐树脂配方
背景技术
本发明涉及在微电子器件的制造中将有机硅酸盐树脂用作硬掩膜(hardmasks)、蚀刻停止(etchstops)、抗反射层(antireflectivelayers)、增粘层、化学/机械抛光(CMP)停止层、或其它层、以及层的组合的用途;涉及一种制造这种器件的方法和由此得到的电子器件。
微电子制造工业向较小的几何结构器件发展以降低能量消耗和加快器件速度。因为导线变得更小和更密集,所以导线之间的电介质变得更严格。正在研究具有比传统使用的电介质材料二氧化硅的介电常数低的新型材料。其中赞同获得提高的具有较低介电的材料是具有小于约3.0的介电常数的旋压、有机的、无机的或杂化聚合物。认为包括聚亚芳香醚和SiLKTM半导体电介质(来自陶氏化学公司)的聚芳撑是主要的有机聚合电介质。适当的无机聚合物和杂化聚合物的例子包括有机硅酸盐玻璃(0SG)和含碳氧化物(CDO)聚合物,在美国专利6,159,871和6,541,398与其它文献中公开了上述聚合物。
例如在Material Research Society Bulletin的第22卷,第10期(1997)中评述了使用这些新电介质材料制造微电子器件。然而,迄今为止,在使用无机硬掩膜的传统方法中通常将该聚芳撑电介质形成图案(patterned),以使该图案转变到所要求设计的电介质材料中。一般地,将该聚芳撑电介质施加到基材上并固化,随后进行无机硬掩膜的等离子体增强化学气相沉积。必须小心地监视沉积条件以确保在硬掩膜和聚芳撑薄膜之间足够的粘附。根据标准图案工艺例如使用光刻胶或软掩膜在无机硬掩膜中形成图案,随后曝光和显影该软掩膜,图案由软掩膜转印到硬掩膜,然后除去软掩膜。一般,使用含氟化合物进行硬掩膜的蚀刻,所述含氟化合物在反应离子的等离子区产生氟活性组分。使用不同的蚀刻化合物将衬底聚芳撑电介质形成图案,该蚀刻化合物一般不包含氟。
在微电子器件制造中研究电介质原料、蚀刻停止和硬掩膜的各种方法和实施方案的另外的出版物包括WO01/18861,它说明用作邻接蚀刻停止和电介质原料的层应该基本上具有不同的蚀刻选择性。该出版物也公开了无机层(定义为一个不包含碳原子的层)应该在通透层(vialevel)和金属的金属层间介质(metal level intermetal dielectric)使用,低介电常数的有机材料应该在无机层之间用作蚀刻停止材料。
WO00/75979披露了具有第一层为有机聚合物的电介质层和在第一层之上的为有机氢化硅氧烷(organohydridosiloxane)的第二层电介质层的结构。美国专利6,218,078公开了旋压的硬掩膜组合物(氢化硅倍半氧烷)的用途,将其涂布在低介电常数的聚合物(苯并环丁烯)上。美国专利6,218,317披露了甲基化氧化物硬掩膜在聚合的层间电介质(ILD)材料上的用途。有利地,硬掩膜和ILD配方可以通过旋转-涂布技术施加。
有机硅酸盐树脂包括例如在美国专利5,994,489和WO00/11096所公开的取代烷氧基硅烷或者取代酰氧基硅烷的完全水解或部分水解的反应产物。WO02/16477公开了在电子器件的制造中有益地用作硬掩膜的有机硅酸盐组合物。更尤其地,该组合物包括:
(a)具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基硅烷,
(b)具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基硅烷,
(c)任选的具有至少一个与硅原子结合的C1-C6烷基的烷氧基或者酰氧基硅烷。可以包括如盐酸的酸催化剂来增强固化性质。
不利地,除非在高温下,上述组合物是不可固化的。这就要求使用熔炉或等效设备进行加热的步骤。如果在该配方中包括酸催化剂,所产生的组合物具有有限的“贮存期限(shelf life)”或“贮存期(potlife)”。因此,通常必须将该材料保持在低温(小于20℃)以延长它的有效期并避免粒子和凝胶的形成,粒子和凝胶的形成可以导致应用薄膜的缺陷并且很难获得所期望的薄膜厚度。冷藏的要求给运输、存储、应用、和随后的使用带来了困难。
所希望的是,可以获得具有改善的存储和使用性能的配方。
发明内容
根据本发明,提供一种改进的可固化的有机硅酸盐组合物,其包括:
(a)具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基的硅烷,
(b)具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基的硅烷,
(c)潜在酸催化剂,
(d)任选地具有至少一个与硅原子结合的C1-C6烷基的烷氧基或者酰氧基硅烷。
上述WO02/16477公开的以在微电子器件中形成硬掩膜、埋入的蚀刻停止层、抗反射层、增粘层、CMP停止层、或其它的层或者它们的组合的方法中,使用上述组合物尤其有效。由于潜在酸催化剂,该组合物可以运输、存储和在以前导致过早固化的条件下应用。
另外本发明提供形成多层薄膜制品的方法,所述方法包括:
提供基材;
在该基材上形成第一(电介质)层,其中第一层具有小于3.0的介电常数并包括有机、无机或者杂化聚合物;
在第一层之上施加有机硅酸盐组合物;
水解(固化)该有机硅酸盐组合物以形成有机硅酸盐树脂,
其特征在于该有机硅酸盐组合物是如本发明先前或随后所公开的组合物。
另外,通过应用光敏化潜在酸生成的催化剂,提供可以形成图案并且可选择地除去的选择的硬掩膜组合物。因此,本发明另外提供在电子器件中形成一层或多层的方法,所述方法包括:
提供基材;
在该基材上形成第一层,其中第一层具有小于3.0的介电常数并包括有机、无机或者杂化聚合物;
在第一层之上施加包括有机硅酸盐树脂的一层或多层,所述有机硅酸盐树脂包括光敏化潜在酸催化剂;
有选择地使该有机硅酸盐树脂层曝光以得到光图象;
除去至少一些曝光的或未曝光的有机硅酸盐树脂部分以暴露至少一部分第一层;
任选地,除去一些或者全部的第一层的暴露部分。
根据本发明的又一个进一步的实施方案,将低介电常数的有机、无机或杂化聚合物的第二层施加到该有机硅酸盐层之上。在这个结构中,该有机硅酸盐层充当埋入的蚀刻停止层以在双镶嵌电路形成过程中精密地控制渠沟的深度。该埋入的蚀刻停止层可以包括光敏化潜在酸催化剂,并且通过渗入第一有机聚合物电介质层的光刻蚀法将它形成图案。根据所选集成电路(integration scheme)的类型,该埋入的蚀刻停止层的蚀刻可以在第二有机、无机或杂化聚合物层的涂布之前或之后发生。
根据本发明的另一实施方案,为了吸收光尤其紫外光,本发明提供了一个或多个组合物,其中所述光在制造印刷电路或其它的电子器件的时候会在一或多个随后的曝光和/或固化步骤期间发生反射或散射。当使用流体尤其是水而不是空气的浸渍光刻过程时,上述的抗反射层尤其有益于传送紫外光。上述方法能够产生增加的光散射和/或改变入射角,由此增加对更多紫外光吸收的需要。在尤其所希望的实施方案中,为了给该组合结构最大的UV的吸收性质,将多层、优选2、3或4层的本发明的组合物沉积并固化,其中所述组合物的每层具有些微不同的光吸收性质(通过调整聚合物中芳基的含量来获得)。
更具体地说本发明提供一种在基材上形成抗反射涂层的方法,所述方法包括:
提供基材;
在至少一部分基材之上或在一个或多个施加在所述基材之上的中间层之上施加有机硅酸盐组合物;
水解(固化)该有机硅酸盐组合物以形成有机硅酸盐树脂层,
其特征在于:该有机硅酸盐组合物是如本发明先前或随后公开的组合物。
在本发明的更进一步的实施方案中,根据本发明的一层或多层可以用于多性能(capacities)如硬掩膜、蚀刻停止层、抗反射层、增粘层、化学/机械抛光(CMP)停止层或它们的组合。尤其优选的实施方案是本发明的组合物即用作抗反射层又用作蚀刻停止层。
本发明也提供例如包括上述组合物的集成电路或制品的电子器件,所述电子器件包括含晶体管的基材和含金属线图案的电互连结构,所述金属线图案至少部分由具有小于3.0介电常数并包括有机、无机或杂化聚合物的层或区隔断,其中该制品更进一步包括如本发明先前或随后公开的一或多层有机硅酸盐组合物。
发明详述
此处所有引用的元素周期表参考2003年由CRC Press,Inc.发表和获得版权的元素周期表。此外,任何引用的一个或多个族应该是在使用编号族的IUPAC体系的这个元素周期表中反映的一个或多个族。为了美国专利的实际应用,此处引入所参考的任何专利、专利申请、或出版物的全部内容(或引入它们等效的US版本)、尤其关于公开的合成技术、原材料、和本领域的常识。
如果在此处出现,术语“包括”和它们的衍生物不是想排除任何另外的组分、步骤或方法的存在,不管这些组分、步骤或方法是否与本发明所公开的相同。以免任何置疑,此处所要求的全部组合物通过使用术语“包括”可以包括任何另外的助剂、辅料、或化合物,除非进行了相反说明。相反,如果在此处出现,术语“基本上由……组成”是拒绝任何随后列举范围之外的任何其它的组分、步骤或方法,除了对操作性不是必不可少的之外。如果使用的术语“由…组成”是排除没有具体地描述或列出的任何组分、步骤或方法。除非另有说明,术语“或”是指逐一列出的要素以及任何组合。
术语“亚烷基”是指二价的脂族烃基,其中对分子其余部分的连结都在相同的碳上。术语“烷撑”是指对应于通式-(CnH2n)-的二价基,其中对该分子其余部分的连结在不同的碳上。术语“芳基”是指芳族基,″芳族″定义为包含(4n+2)电子,其中n是整数,这描述于Morrison和Boyd的1973年的″有机化学(Organic Chemistry)″第三版中。术语“芳撑”是指二价芳基。“酰基”是指具有-C(O)R结构的基团(例如,C2酰基是-C(O)CH3)。“酰氧基”是指具有-OC(O)R结构的基团。
烷氧基或酰氧基硅烷的水解产生没有水解的、部分水解的、全水解的和低聚的烷氧基硅烷或酰氧基硅烷的混合物。当水解的或部分水解的烷氧基硅烷或酰氧基硅烷与另一个烷氧基硅烷或酰氧基硅烷反应以产生水、醇或酸以及Si-O-Si键时,低聚发生。如此处所使用的,术语“水解的烷氧基硅烷”或“水解的酰氧基硅烷”包含任何程度的水解,部分的或完全的,以及低聚的产物。
优选此处所使用的具有至少一个包含烯不饱和基团的烷氧基或酰氧基硅烷符合下列通式:
其中Ra是C1-C6亚烷基、C1-C6烷撑、芳撑、或直键(direct bond);Ya是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲酰氧基、3-酰氧基、3-氨乙基-氨基、3-氨基、-SiZa 2OXa、或-OXa;每出现的Xa独立地是C1-C6烷基或C2-C6酰基;且Za是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXa,条件是至少一个Ya、Za或Xa是烯不饱和的。
优选此处所使用的具有至少一个芳基的烷氧基或酰氧基硅烷符合下列通式:
其中Rb是C1-C6亚烷基、C1-C6烷撑、芳撑、或直键;Yb是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲酰氧基、3-酰氧基、3-氨乙基-氨基、3-氨基、-SiZb 2OXb、或-OXb;每出现的Xb独立地是C1-C6烷基或C2-C6酰基;和Zb是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXb,规定至少一个Yb、Zb或Xb包括芳环。
该硅烷可以是烷氧基硅烷、酰氧基硅烷、三烷氧基硅烷、三乙酰乙酸基硅烷、二烷氧基硅烷、二乙酰氧基硅烷、四烷氧基硅烷或四乙酰氧基硅烷。一些直接连接硅原子的有机基团的例子包括甲基、乙基、苯基、蒽基、乙酰氧丙基、氨丙基、3-氨乙基氨丙基、乙烯基、苯甲基、二环庚烯基、环己烯基乙基、环己基、环戊二烯基、7-辛-1-烯基、苯乙基、烯丙基和乙酰氧基。
为了给所产生的组合物和由此制造的薄膜赋予UV吸收性质,直接与硅原子连接的或在直接与硅原子连接的基团中的芳族取代基是高度期望的。由于上述的UV吸收性质,上述的薄膜具有抗反射性质,因此降低了入射光的所不希望的光散射和反射,特别在浸渍光刻过程中。上述的散射和反射能够导致线路变化或传播及其它组分不希望的或过早的固化。因为将UV吸收取代基均匀地合并入本发明的组合物,所以在没有分别添加染料的情况下实现了更均一的UV光的吸收。另外,通过在聚合物中合并入UV吸收部分,避免了由于不好地引入添加剂而引起薄膜性质的损失。于是,本发明的组合物具有改进的相分离、平面化、填隙、化学制品的除去、和粘附性质。
更尤其,在使用大约193nm光的固化或成像过程中,苯基取代基提供了改进的UV吸收性质。尤其希望蒽基取代基用于使用大约248nm光的应用中。上述的芳族取代基在聚合物中的数量和类型可以通过相对小的增量来调节以提供在每层中具有轻微不同紫外光吸收的多层薄膜。在邻接树脂层中的O.1到10摩尔百分比、优选0.1到1.0摩尔百分比的芳族含量的差异导致所产生的多层具有改进的UV吸收性质。
可以在光刻胶(photoresist)层之上使用抗反射涂层(TARC)或在光刻胶层之下直接使用抗反射涂层(BARC)通过吸收和/或破坏性干涉而最小化在光刻蚀过程中反射的非影像光的量。非影像光反射的最小化能够改进(最小化)所产生的光刻胶的谱线宽度变化。
对最小化浸渍光刻过程中的高NA(>0.85)光的总反射比来说,使用多层BARC是尤其有用的。此外,通过不同的固化机理形成的多层TARC或BARC薄膜尤其可以适于这个应用。因此有可能借助这个技术通过使用在相对低的使用温度下有效的热致酸生成剂(thermal acidgenerator)(TAG)来形成第一层,随后处理由更高温度TAG活化的一个或多个另外的ARC层。在另一个实施方案中使用TAG活化第一ARC层,使用光致酸生成剂(photo acid generator)(PAG)可以活化第二或其次的ARC层,或反之亦然。用这样的方式,可以将图案施加于各个层或者可以生产多个ARC层,该ARC层展现了不同的光学性质、蚀刻选择性、CMP去除率、或者不同的其它物理或化学性质。在最后步骤时,可以使用掩盖曝光来固化整个薄膜、仅仅表面膜、一个或多个中间膜层、或者在多层ARC顶层上施加图案。
在下面的参考文献中公开了抗反射涂层和在电子器件中合并入它们的技术:Kunz,R.R.等人的“Materials evaluation ofantireflective coatings for single layer 193nm lithography”,SPIE,(1994)2195,447-460;“Anti-reflective Coatings,a Storyof Interfaces”,Semiconductor International,(1999),55-60;Lin等人的“Dual layer Inorganic SiON Bottom ARC for 0.25um DUVHard Mask Applications”,SPIE,(2000),246;“Anti-reflectiveCoatings;Theory and Practice”,SPIE,(2004),118。
尤其优选的是混合物的水解或部分水解产物,所述混合物包括:
(a)50到95摩尔百分比的硅烷,它具有通式:
Figure A20048000894300121
其中Ra是C1-C6亚烷基、C1-C6烷撑、芳撑、或直键(direct bond);Ya是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲酰氧基、3-酰氧基、3-氨乙基-氨基、3-氨基、-SiZa 2OXa、或-OXa;每出现的Xa独立地是C1-C6烷基或C2-C6酰基;且Za是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXa,条件是至少一个Ya、Za或Xa是烯不饱和的。
(b)5到40摩尔百分比的
其中Rb是C1-C6亚烷基、C1-C6烷撑、芳撑、或直键;Yb是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲酰氧基、3-酰氧基、3-氨乙基-氨基、3-氨基、-SiZb 2OXb、或-OXb;每出现的Xb独立地是C1-C6烷基或C2-C6酰基;和Zb是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXb,规定至少-个Yb、Zb或Xb包括芳环。
(c)潜在酸催化剂
(d)0到45摩尔百分比的
Figure A20048000894300131
其中Rc是C1-C6亚烷基、C1-C6烷撑、芳撑、或直键;Yc是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲酰氧基、3-酰氧基、3-氨乙基-氨基、3-氨基、-SiZc 2OXc、或-OXc;每出现的Xc独立地是C1-C6烷基或C2-C6酰基;和Zc是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-20芳基、或-OXc,规定至少一个Zc或Rc-Yc的组合包括C1-6烷基,
所述的摩尔百分比是以存在的硅烷(a)、(b)和(d)的总摩尔数计算的。
可以调整该水解的有机硅烷组合物的制造过程以赋予所希望的性质如控制分子量、聚合物结构(例如,嵌段共聚物和无规共聚物)。当使用有机硅烷的组合物并且一个有机硅烷比另一个有机硅烷更显著活泼时,优选在水解反应期间连续地添加更显著活泼的组分。这保证了两种硅烷的剩余部分更均匀地分布于整个所产生的低聚物或聚合物中。调节添加比率以在所产生的聚合物中提供所希望的硅烷剩余部分的混合物。如此处所使用的,“连续地添加”是指不是同时添加该带电的活泼硅烷而是在至少一些大约均衡的部分中添加、或更优选在整个反应期间均匀地添加。
术语“潜在酸催化剂(LAC)”是指暴露于合适的反应条件下而分解并由此产生能引发或催化本发明固化过程的酸的化合物。当它存在于本发明的组合物中或存在于所应用和使用的任何配方中时,优选LAC是相对稳定的。就术语“相对稳定的”来说是指在该组合物暴露于25℃之上1个月、更优选6个月后,一个或多个硅烷化合物分子量的增加小于10%、更优选小于5%的。
合适的潜在酸催化剂是暴露于热或光时而产生酸的物质。上述组合物此处称为热致酸生成剂(TAGs)或光致酸生成剂(PAGs)。在本发明中有利地可以使用一个类型的两个或更多个催化剂混合物如两个或更多个热致酸生成剂的混合物、不同类型的催化剂如热致酸生成剂和光致酸生成剂的混合物。
使用的潜在酸催化剂的量是可催化反应的任何量、一般以组合物中硅烷单体的重量计为0.1到25重量%。优选该催化剂以0.5到15重量%、更优选1到12重量%的量存在。
用于本发明的热致酸生成剂是任何在热、一般在25℃到220℃的温度下产生酸的化合物。与缺乏酸催化剂的配方相比,由于该热致酸生成剂的存在,因此可以在降低的固化温度和时间下轻易地固化本发明的组合物。用于本发明的合适的热致酸生成剂包括,但是不局限于:2,4,4,6-四溴代环己二烯酮;有机磺酸和氟化磺酸,如二壬基萘二磺酸、二壬基萘磺酸、十二烷基苯磺酸、三氟化甲磺酸(三氟甲磺酸)、和对甲苯磺酸;有机磺酸的烷基酯如安息香甲苯磺酸酯、苯基三氟磺酸酯、和2-硝基苄基甲苯磺酸酯、苄型卤化芳族化合物、一烷基和二烷基酸式磷酸酯、一苯基和二苯基酸式磷酸酯、烷基苯基酸式磷酸酯、及其组合。嵌段热致酸生成剂即酸酯是优选的,如嵌段磺酸酯、嵌段氟化磺酸酯、和嵌段膦酸酯。上述热致酸生成剂是本领域熟知的并且通常可从市场上获得,例如可从King Industries,Norwalk,Connecticut中获得的N ACURETM或K-PureTM商标的嵌段酸生成剂。
用于本发明的光致酸生成剂是由曝光而产生酸的任何化合物,一般在190到420纳米波长的光下曝光,然而其它波长也可能是适合的。根据本发明包括PAG的组合物理论上适合用于希望有机硅酸盐层选择性固化和蚀刻的过程。合适的光致酸生成剂包括卤化三嗪、鎓盐、和磺酸酯。
尤其有用的卤化三嗪包括卤甲基-S-三嗪。适合的卤化三嗪包括例如,2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-双(三氯甲基)-1,2,5-三嗪、2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-双(三溴甲基)-1,3,5-三嗪、2-2-糠基亚乙基(furfylethylidene))-4,6-双(三氯甲基)1,3,5-三嗪、2-[2-(5-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(3-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-4,5-二甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基-呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-(2-(2-糠基亚乙基(furfylethylidene))-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(5-甲基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4-甲基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(3-甲基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2,4,6-三-(三氯甲基)-1,3,5-三嗪、2,4,6-三-(三溴甲基)-1,3,5-三嗪、2-苯基-4,6-双(三氯甲基)-1,3,5-三嗪、2-苯基-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-甲氧基苯基)-4,6-双(三氯甲基)1,3,5-三嗪、2-(4-甲氧基苯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(2-(1-萘基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(1-萘基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-甲氧基-1-萘基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(4-甲氧基-1-萘基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-氯苯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-苯乙烯基-4,6-双(三氯甲基)-1,3,5-三嗪、2-苯乙烯基-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(4-甲氧基苯乙烯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(3,4,5-三甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2(3,4,5-三甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(3-氯-1-苯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(3-氯苯基)-4,6-双(三溴甲基)-1,3,5-三嗪。在美国专利5,366,846中公开了其它的可用于本发明的三嗪类光致酸生成剂。
该S-三嗪化合物是某些甲基-卤甲基-s-三嗪与某些醛或醛的衍生物的缩合反应产物。上述的S-三嗪化合物可以根据美国专利3,954,475和Wakabayashi等人的Bulletin of the Chemical Society of Japan,42,2924-30(1969)所公开的方法制造。
具有弱亲质子阴离子的鎓盐尤其适合用作本发明的光致酸生成剂。上述阴离子的例子是二价到七价金属或非金属例如锑、马口铁、铁、铋、铝、镓、铟、钛、锆、钪、铬、铪、铜、硼、磷和砷的卤素络合阴离子。合适的鎓盐的例子包括,但是不局限于:金属或元素周期表的1、2、5、12、15或16族的非金属的二芳基重氮盐和鎓盐,例如卤鎓盐、季铵、膦和砷盐、芳族锍盐和氧化锍盐或硒盐。在美国专利4,442,197;4,603,101;和4,624,912中公开了合适的鎓盐的例子。
上述提到的鎓盐的例子包括碘鎓盐、锍盐(包括四氢噻吩鎓盐)、膦盐、重氮盐、和吡啶鎓盐。它们具体的例子包括二苯基碘鎓-三氟化甲烷磺酸盐、二苯基碘鎓九氟化-正-丁烷磺酸盐、二苯基碘鎓芘磺酸盐、二苯基碘鎓正-十二烷基苯磺酸盐、二苯基碘鎓六氟化锑酸盐、双(4-t-丁基苯基)碘鎓-三氟化甲烷磺酸盐、双(4-t-丁基苯基)碘鎓九氟化-n-丁烷磺酸盐、双(4-t-丁基苯基)碘鎓n-十二烷基苯磺酸盐、双(4-t-丁基苯基)-碘鎓六氟化锑酸盐、双(4-t-丁基苯基)-碘鎓-萘磺酸盐、三苯基锍三氟化甲烷磺酸盐、三苯基锍九氟化-n-丁烷磺酸盐、三苯基锍六氟化-锑酸盐、三苯基锍-萘磺酸盐、三苯基锍-10-樟脑磺酸盐、4-羟基苯基苯基-甲基锍p-甲苯磺酸盐、环己基-2-氧代环己基-甲基锍三氟化甲烷-磺酸盐、双环己基-2-氧代环己基锍三-氟化甲烷磺酸盐、2-氧代环己基二甲基锍三氟化甲烷磺酸盐、4-羟苯基-苯甲基-甲基锍p-甲苯磺酸盐、1-萘二甲基-锍三氟化-甲烷磺酸盐、1-萘二乙基锍三氟化-甲烷磺酸盐、4-氰基-萘二甲基锍三氟化甲烷磺酸盐、4-硝基-1-萘二甲基-锍三氟化甲烷磺酸盐、4-甲基-1-萘二甲基锍-三氟化甲烷磺酸盐、4-氰基-1-萘基二乙基锍三氟化甲烷硫酸盐、4-硝基-1-萘基二乙基锍三氟化甲烷硫酸盐、4-甲基-1-萘二乙基-锍三氟化甲烷磺酸盐、4-羟基-1-萘二甲基锍-三氟化甲烷磺酸盐、4-羟基-1-萘四氢噻吩鎓-三氟甲烷-磺酸盐、4-甲氧基-1-萘四噻吩鎓三氟化甲烷磺酸盐、4-乙氧基-1-萘四氢-噻吩鎓三氟化-甲烷磺酸盐、4-n-丁氧基-1-萘基-四氢噻吩鎓九氟化-n-丁烷磺酸盐、4-甲氧基-甲氧基-1-萘四噻吩鎓三氟化甲烷磺酸盐、4-乙氧基甲氧基-1-萘四氢噻吩鎓-三氟化甲烷磺酸盐、4-(1’-甲氧基乙氧基)-1-萘四氢化噻吩鎓三氟化-甲烷磺酸盐、4-(2’-甲氧基乙氧基)-1-萘四氢化噻吩鎓三氟化-甲烷磺酸盐、4-甲氧基羰氧基-1-萘四噻吩鎓三氟化甲烷磺酸盐、4-乙氧基羰氧基-1-萘四噻吩鎓三氟化甲烷磺酸盐、4-n-丙氧基羰氧基-1-萘四氢噻吩鎓-三氟化甲烷磺酸盐、4-i-丙氧基羰基-氧-1-萘基-四氢噻吩鎓三氟化甲烷磺酸盐、4-n-丁氧基-羰氧基-1-萘四噻吩鎓三氟化甲烷磺酸盐、4-t-丁氧基羰氧基-1-萘四氢化-噻吩鎓三氟化甲烷磺酸盐、4-(2’-四氢化-呋喃氧基(furanyloxy))-1-萘四氢噻吩鎓三氟化甲烷-磺酸盐、4-(2’-四氢吡喃氧基)-1-萘四氢化噻吩鎓三氟化-甲烷磺酸盐、4-苄氧基-1-萘基-四氢噻吩鎓三氟化甲烷-磺酸盐和1-(1’-萘乙酰甲基)-四氢噻吩鎓三氟化甲烷磺酸盐。
在本发明中可用作光致酸生成剂的磺酸酯包括磺酰氧基酮。合适磺酸盐酯包括,但是不局限于:安息香甲苯磺酸盐酯、t-丁基苯基α-(p-甲苯磺酰氧基)-醋酸酯和t-丁基α-(p-甲苯磺酰氧基)-醋酸酯。在the Journal of Photopolymer Science and Technology(1991)的第4卷第3期第337-340页中公开了上述磺酸酯。
另外的合适的光致酸生成剂包括磺酸衍生物如,例如,烷基磺酸酯、烷基磺酸二酰亚胺、卤代烷基磺酸酯、芳基磺酸酯和亚氨基磺酸酯。磺酸衍生物的优选实施方案包括安息香甲苯磺酸盐、连苯三酚三(三氟化甲烷磺酸盐)、硝基苄基-9,10-二乙氧基蒽-2-磺酸盐、三氟化甲烷磺酰基二环-[2.2.1]庚-5-烯-2,3-二碳二亚胺、N-羟基琥珀二酰亚胺三氟化甲烷-磺酸盐和1,8-萘二羧酸酰亚胺三氟化甲烷磺酸盐。
尤其优选的磺酸衍生物光致酸生成剂包括二苯基碘鎓三-氟化甲烷磺酸盐、双(4-t-丁基苯基)碘鎓-三氟化甲烷磺酸盐、双(4-t-丁基苯基)碘鎓九氟化-n-丁烷磺酸盐、三苯基锍三-氟化甲烷磺酸盐、三苯基锍九氟化-n-丁烷磺酸盐、环己基2-氧代环己基甲基锍三氟化甲烷磺酸盐、双环己基2-氧代环己基锍-三氟化甲烷磺酸盐、2-氧代环己基-二甲基-锍三氟化甲烷-磺酸盐、4-羟基-1-萘二甲基锍三-氟化甲烷磺酸盐、4-羟基-1-萘四氢噻吩鎓三氟化甲烷-磺酸盐、1-(1-萘乙酰甲基)-四氢噻吩鎓三氟化甲烷磺酸盐、三氟化甲烷磺酰基二环[2.2.1]庚-5-烯-2,3-二碳二亚胺、N-羟基-琥珀二酰亚胺三氟化甲烷磺酸盐和1,8-萘二羧酸二酰亚胺三氟化甲烷磺酸盐。
另外的合适的光致酸生成剂包括,例如,包含卤代烷基的碳氢化合物和包含卤代烷基的杂环化合物,包括(三氯甲基)-s-三嗪衍生物如苯基双(三氯甲基)-s-三嗪、4-甲氧基-苯基双(三氯甲基)-s-三嗪、和1-萘双-(三氯甲基)-s-三嗪;1,1-双(4’-氯苯基)-2,2,2-三氯乙烷。
另外的合适的光致酸生成剂包括重氮酮化合物,例如,1,3-二酮-2-重氮化合物、重氮苯醌化合物和重氮萘醌化合物。重氮酮的优选实施方案包括1,2-萘醌重氮基-4-磺酰氯、1,2-萘并-醌重氮基-5-磺酰氯、2,3,4,4’-四羟基二苯甲酮的1,2-萘醌-重氮基-4-磺酸酯或1,2-萘醌重氮-5-磺酸酯;和1,1,1-三-(4’-羟苯基)乙烷的1,2-萘醌重氮-4-磺酸酯或1,2-萘醌重氮-5-磺酸酯。
另外的合适光致酸生成剂包括砜化合物,例如,β-酮砜、β-磺酰基砜、和那些化合物的α-重氮基衍生物。作为砜化合物的优选实施方案,可以提及的是4-三苯甲酰甲基砜、1,3,5三甲基苯苯甲酰甲基砜和(双苯磺酰基)甲烷。
优选的PAGs的例子包括如在美国专利4,731,605中公开的(三氟化甲基磺酰氧基)-二环[2.2.1]庚-5-烯-2,3-二甲酰亚胺(“MDT”)、鎓盐、芳族重氮盐、锍盐、二芳基碘鎓盐和N-羟基酰胺或-酰亚胺的磺酸酯。同时,也可以使用产生有机酸催化剂的PAG如N-羟基萘亚甲基二酰亚胺(“DDSN”)的十二烷磺酸盐。
本发明的有机硅酸盐组合物合适地用作多层组合物的一层或多层、优选应用在浸渍光刻过程的2、3或4层,任选地与部分蚀刻的一层或多层一起形成,如在镶嵌或双镶嵌过程中。高度期望此有机硅酸盐组合物作为硬掩膜或蚀刻终止层而沉积在绝缘材料的第一层上,优选具有低介电常数的有机聚合物层。
如此处所使用的,“有机聚合物”是指在聚合物链的主链中主要含有碳的聚合物,但是也可以包括杂原子如氧(例如,聚芳撑醚)或氮,如聚酰亚胺,在1992年发表的International Society for HybridMicroelectronics的第104-122页的“Thin Film Multichip Modules”中公开了上述聚酰亚胺。该有机聚合物在主链上可以包含少量的硅原子,但是更优选在主链中不含或基本上不含硅。
通常在基于淀积室的低压(真空)使用通常称为等离子体-增强-化学气相沉积(PE-CVD)的技术来生产有机硅酸盐玻璃(OSG)和含碳氧化物(CDO)二者。由气体反应剂如三甲硅烷和氧或其它的氧化剂制备这些原料,然后需要作为能源的等离子体来分解该原料并使中间物的反应在降低的沉积温度下进行。
电介质层可以包含由除去先前添加的致孔剂(poragens)而形成的小孔。所产生的小孔对进一步减少材料的介电常数是有益的。该层可以同时包含增粘剂(包括含Si的增粘剂)、涂布助剂和/或在形成小孔之后剩余的残留材料。在第一层中上述另外组分的含量优选是相对较少,例如小于10重量%、优选小于1重量%、最优选小于0.1重量%。
优选,该电介质层是有孔的或者无孔的聚芳撑聚合物。聚芳撑的例子包括如在美国专利5,965,679中公开的芳族环戊二烯酮和芳族乙炔化合物的环形反应产物、如EP-B-755957中描述的聚(芳撑醚)、和在N.H.Hendricks和K.S.Y Liu的Polvm.Prepr.(Am.Chem.Soc.Div.Polym.Chem.)1996年,37(1),第150-1页;以及J.S.Drage等人的Material Res.Soc.,Sump.Proc.(1997)第476卷第121-128页(Low Dielectric Constant Materials III)中公开的其它树脂,和在美国专利5,115,082;5,155,175;5,179,188和5,874,516中以及在WO91/09081;WO97/01593和EP-B-755,957中所公开的树脂。另外的合适的聚合物层包括如在WO97/10193中公开的交联的聚苯撑。
最优选,第一层是有孔的或无孔的聚芳撑聚合物,它是具有下列通式的低聚物的固化或交联的产物:
                    [A]w[B]z[EG]v
其中A具有以下结构:
B具有以下结构:
Figure A20048000894300202
其中EG是具有一个或多个下列结构(VI)的端基:
其中R1和R2独立地是H或未取代的或惰性-取代的芳族部分,每出现的Ar独立地是单环的或多环的芳族基团或它们的惰性-取代的衍生物,M是键,且y是三或以上的整数,p是在给出的链节单元中未反应的乙炔基的数目,r是小于在给出的链节单元中反应的乙炔基的数目且p+r=y-1,z是1到1000的整数;w是O到1000的整数,v是二或以上的整数。
通过反应双环戊二烯酮、含三个或以上乙炔部分的芳族乙炔、和任选的含两个芳族乙炔部分的多官能团化合物来制备上述的低聚物和聚合物。上述的反应可以由具有下列通式的化合物的反应代表:
(a)具有下式的双环戊二烯酮:
(b)具有下式的多官能团乙炔:
(c)任选的,具有下式的联乙炔:
Figure A20048000894300213
其中R1、R2、Ar和y与先前所定义的一样。
所定义的芳族部分包括苯基、聚芳族和稠芳族部分。“惰性-取代”是指在环戊二烯酮和乙炔的聚合反应中基本上是惰性的并且在微电子器件中使用的固化聚合物的条件下不会与外界的物质如水轻易地反应的取代基团。上述的取代基团包括,例如,F、Cl、Br、-CF3、-OCH3、-OCF3、-O-Ph和一到八个碳原子的烷基、三到八个碳原子的环烷基。例如,未取代的或惰性-取代的芳族部分可以包括:
Figure A20048000894300214
其中Z’是共价键、-O-、-S-、烷撑、-CF2-、-CH2-、-O-CF2-、全氟烷撑,全氟烷撑氧基,
Figure A20048000894300222
其中每个R3独立地是-H、-CH3、-CH2CH3、-(CH2)2CH3或Ph;
和Ph是苯基。
第二个优选的有机聚合物类是具有下式的化合物的反应产物:
其中每个Ar和Z’与先前定义的相同;
每个R独立地是氢、烷基、芳基、或具有直到20碳的惰性一取代的烷基或芳基;
n和m是至少2的整数;以及
q是至少1的整数,
其中在至少一个芳环上的至少两个乙炔基对彼此来说是邻位。
优选具有下式重复单元的聚合物:
其中R和Z’与先前所定义的相同。
优选该基材是有电子活性(electrically active),包括,优选,半导体材料,如硅片、绝缘体上的硅、或砷化镓。优选,该基材包括晶体管。该基材可以包括先施加的金属互连层和/或电子绝缘材料。这些电子绝缘材料也可以是如上所述的有机-、无机-或杂化聚合物、或者是其它已知的电介质如二氧化硅、氟化二氧化硅、四氮化三硅和硅氧烷(silsesquioxanes)。先施加的金属互连层可以具有凸起的构造,而在这样的情况下该有机聚合物或它的母体必须能够充填这些构造之间的间隙。
使用可以获得所期望厚度的任何已知方法将有机聚合物电介质施加到基材上。优选,从溶剂系统将有机聚合物的未固化聚合物或低聚物以500到5000rpm的旋转速度旋转涂布。有机聚合物层的厚度优选小于5000纳米、更优选50到2000纳米。合适的溶剂包括1,3,4-三甲基苯、吡啶、三乙胺、N-甲基吡咯酮(NMP)、苯甲酸甲酯、苯甲酸乙酯、苯甲酸丁酯、环戊酮、环己酮、环庚酮、环辛酮、环己基吡咯酮和醚或羟基醚如二苄基醚、二甘醇二甲醚、三甘醇二甲醚、二甘醇乙醚、二甘醇甲醚、二丙二醇甲醚、二丙二醇二甲醚、丙二醇二苯醚、丙二醇甲醚、三丙烯乙二醇甲醚、甲苯、二甲苯、苯、二丙二醇单甲醚醋酸酯、二氯苯、碳酸丙烯、萘、二苯醚、丁内酯、二甲基乙酰胺、二甲基甲酰胺和它们的混合物。
然后从涂层上去除剩余的流体,该低聚物或未固化的聚合物优选通过加热来固化。优选,在大气压的氮气条件下在加热板上起始加热步骤,随后在电炉上或熔炉内进行第二高温固化。如在美国专利5,965,679中所公开的,优选聚芳撑在50℃到600℃、更优选100℃到450℃温度下固化0.1到60分钟。在制造有孔的第一层的过程中,如例如在WO00/31183中所公开的,可能需要提供特殊的步骤以烧尽或其它方法除去致孔剂。
在施加(施加步骤可以包括烘烤步骤以除去剩余溶剂)和任选的固化第一层之后,施加本发明的有机硅酸盐组合物。可以使用任何已知的涂覆工艺如单体的汽相沉积、旋转涂布、浸渍涂布和喷涂。然而旋转涂布单独或在溶液中的低聚物或低分子量聚合物溶液是优选的。固化的有机硅酸盐层的厚度通常是1到500纳米,具体的厚度取决于应用或用途。例如,增粘层一般具有1到20、优选3到15、最优选5到10纳米的平均厚度。蚀刻停止层一般具有10到200、优选30到150、和最优选50到100纳米的平均厚度。抗反射层一般具有10到500、优选15到400、最优选50到200纳米的平均厚度。多层抗反射涂层分别适合上述平均厚度的限定。
有机硅酸盐层的固化优选在50℃到500℃、更优选100℃到400℃的温度下进行0.1到60分钟。所使用的准确的温度和时间取决于所选的有机硅酸盐材料。
如果期望,在固化前可以在有机硅酸盐材料之上施加光刻胶。根据常用方法影像和显影该光刻胶以除去硬掩膜上曝光图案的一部分光刻胶。然后将该有机硅酸盐硬掩膜依次蚀刻以使一部分第一层电介质曝光。可以使用多种方法进行有机硅酸盐硬掩膜的蚀刻,例如湿蚀刻(例如电化学的、光电化学的或断路蚀刻)或干蚀刻(例如,水蒸气,等离子体,激光-、电子-、或离子-束)技术,在Etching in Microsvstems,Michael Kohler,Wiley-VCH中描写了上述蚀刻技术。可以在蚀刻期间或在独立的除去步骤中除去该光刻胶。然后使用上述方法如湿或干蚀刻将曝光的一部分第一电介质层蚀刻以形成渠沟、通道(via)、或其它所需要的构造。如果要求,然后可以在形成图案的有机硅酸盐层之上施加并固化第二有机聚合物层。在第二有机聚合物层之上施加任何类型的第二硬掩膜但优选再一次施加有机硅酸盐,然后根据标准方法形成图案。然后可以蚀刻该有机聚合物直到嵌入的硬掩膜或蚀刻停止层,并且如果在该嵌入的硬掩膜中已经形成图案,蚀刻穿过有机聚合物第一层。
根据另一个实施方案,在第一有机、无机或杂化聚合物电介质层之上施加有机硅酸盐材料之后,将它在50℃到500℃、更优选100℃到400℃的温度下固化0.1到60分钟。准确的温度取决于所选的有机硅酸盐材料。然后使用先前所述的任何涂布方法施加第二电介质层并完成该介质层的完全或部分固化。可以用多种双镶嵌过程来构成渠沟和通透结构,其中使用嵌入的有机硅酸盐层作为蚀刻停止层以控制该渠沟的均匀性、深度、和/或形状。也可以将有机硅酸盐硬掩膜蚀刻以曝光第一电介质层的一部分。通过任何前述的湿或干蚀刻技术进行有机硅酸盐硬掩膜的蚀刻。然后使用相同的湿或干蚀刻技术再一次蚀刻曝光第一电介质层的一部分以产生通透或其它所希望的构造。
根据已知的方法也可以使用化学机械抛光以提高平面化和/或除去表面层或构造。也可以使用已知的清洗步骤来除去光刻胶和其它残余层。
因为有机硅酸盐硬掩膜本身具有低的介电常数,所以它可以方便地用作嵌入的硬掩膜,也就是说不从制品上去除硬掩膜而是具有在硬掩膜之上施加的另外的互连/电介质层。或者,可以通过任何已知的方法去除有机硅酸盐硬掩膜,例如,氧/溶剂处理、热降解加溶剂清洗等。
能够使有机硅酸盐树脂用作有机聚合物电介质硬掩膜的一个重要因素是有机硅酸盐树脂对用来蚀刻有机聚合物电介质的化学药品具有相对抵抗力。因此,此处优选使用有机聚合物电介质层。蚀刻选择性系数(Etch selectivity)可以定义为当曝光于相同的蚀刻化学药品时去除的下层聚合物的厚度除以去除的有机硅酸盐的厚度。根据本发明,优选获得至少3、更优选至少5的蚀刻选择性系数。对于水解的硅烷,蚀刻选择性系数可以更高——大约大于10、优选大于20。通过某些处理甚至可以更进一步地增加蚀刻选择性系数,例如将有机硅酸盐层曝光于氟化等离子体或者光或电子束辐射(e-电子束辐射)。
当以一些具体例子的形式研究本发明时,本发明的一些益处变得更清楚。
一个方法是使用非牺牲的有机硅酸盐层(也就是说,不去除该层而是将它变为器件的固定部分)如硬掩膜。这允许用低介电常数的旋压沉积层替换汽相沉积层。可以仍然使用标准图案形成工艺。由于减少了多层电介质堆栈的联合介电常数,所以该器件获得了改进的性能。与具有9到3相对介电常数的标准汽相沉积层相比,本发明旋压层的相对介电常数期望为3.2到1.8、优选3.0到1.8。由于使用容易和低的器件成本,旋压电介质也是所希望的。由于本发明的组合物而改进的粘附能够有效地形成多孔的电介质层,并且随后所施加的层对于致孔剂分解产物具有增加的渗透性。
第二个方法是使用牺牲的有机硅酸盐树脂(即除去该层)。也可以使用标准图案形成工艺。在形成图案步骤之后,使用包括例如含氟或溴的化合物如CF4的专用工艺步骤去除至少部分沉积层。由于该层的除去,可以获得最低的可能的介电常数。由于在多层构造中减少了接口的数目,因此获得了产率和安全性的增加。
第三个方法是使用非牺牲的、光可限定的有机硅酸盐。除在第一个方法所表明的益处之外,由于过程引起的缺陷概率的降低,可以预见产率的增加。此外,降低或消除了对光刻胶和软掩膜的需要。
第四个方法是使用牺牲的、光可限定的有机硅酸盐。在第二个方法所表明的益处仍然存在,同时由于由过程引起的缺陷概率的降低,附加了产率增加的益处,并且由于消除了对光刻胶和软掩膜的需要,简化了方法。
第五个方法包括通过沉积和固化如先前所述的本发明的组合物而形成抗反射涂层。
第六个方法包括通过在多层中沉积和固化如先前所述的本发明组合物而形成多层抗反射涂层,所述每个多层抗反射涂层具有不同的UV吸收性质。
本发明方法的另外的变化通过下列实施例举例说明,提供实施例是用来说明的而不是想限制本发明的范围。除非相反地说明,所有份数和百分数以重量计算。
实施例1
A)90/10摩尔百分比的乙烯基/苯基有机硅酸盐的合成
将250ml的3颈圆底烧瓶放置在20℃的水浴中,所述圆底烧瓶装有与空气发动机连接的搅拌器、水套冷凝器、与温度控制器连接的热电偶和加料漏斗。在该烧瓶中添加21.6克(1.2摩尔)的酸化水(3N乙酸)。将53.3克(0.360摩尔)的乙烯基三甲氧基硅烷和7.94克(0.040摩尔)的苯基三甲氧基硅烷预混合,然后添加到加料漏斗中。将硅烷添加到反应器中超过45分钟。在完成添加之后,去除水浴并将暖气罩放置在烧瓶下。去掉添加漏斗,然后连接迪安-斯达克榻分水器和氮旋扫迹线(nitrogen sweep line)。将该溶液慢慢加热到100℃。在加热的同时,在分水器中收集甲醇和乙酸。当反应到达100℃时,添加100克丙二醇单甲基醚醋酸酯。在收集另外的甲醇时,将该溶液慢慢加热到125℃。当温度达到125℃时,去掉分水器并在125℃下保持反应3小时。在3小时之后,中止加热并添加75克的丙二醇单甲基醚醋酸酯和混合该溶液直到达到室温。在添加硅烷之后在100℃、125℃以及每30分钟取等分样品直到过程结束。分子量测量、分子量分布(PD)和溶解度的结果均列于表1。
                       表1-MV数值
样本        Mn        Mw        Mz         PD       备注
1           457       481       510        1.05     在100℃
2           971       1400      2260       1.44     在125℃
3           1260      2380      4980       1.88     30min在125℃
4           1510      3390      7950       2.25     60min在125℃
5           1870      5580      15000      2.99     90min在125℃
6           2080      7560      23100      3.64     120min在125℃
7           2250      9680      30400      4.3      150min在125℃
8           2270      13700     50800      6.02     180min在125℃
B)UV可固化配方的制备,固化和溶解试验
将来源于表1的有机硅酸盐溶液1、和4-8用丙二醇单甲基醚醋酸酯均匀地稀释到其中含有15%的固体并将它旋转涂布在四英寸(10厘米)的硅片上。将该溶液在300-420rpm下旋转18秒,随后在500-680rpm下旋转30秒。为了除去该溶剂,将硅片放入设定在130℃的烘箱中1分钟。在冷却到室温之前,将一部分硅片浸入显影液(MF-CD-26Microposit Developer,可从Shipley Chemical Company获得)中30秒。在浸渍之后,该硅片用去离子水漂洗并用空气吹干。在显影剂中浸渍30秒后溶液7和8是不溶解的。在浸渍120秒之后溶液8仍然不溶解,而溶液7仅仅少量溶解(一些涂层保持)。溶液5和6在30秒浸渍之后少量溶解,在120秒浸渍之后仅仅稍微较多的薄膜溶解(在该硅片上仍然看得见一些涂层)。溶液1和4在30秒浸渍之后是完全可溶的。
在6.000克溶液4中加入0.072克混合的三芳基锍六氟化锑酸盐、光致酸产生催化剂(可以从陶氏化学公司中获得的CYRACUREUVR-6976)并混合直到均质。用该混合物旋转涂布四英寸(10厘米)硅片。将该硅片放入设定在130℃的烘箱中1分钟。在冷却到室温之后,将该硅片在空气中以10ft/min(3M/min)和到灯泡距离的4″(10厘米)部分方式曝光于UV辐射(500瓦,Hg灯泡)下。将该硅片在显影液中浸渍30秒,然后再浸渍120秒。在30秒和120秒浸渍之后该涂层不溶解,这表明在曝光于紫外光的条件下该涂层可以轻易地变为可光致固化的硬掩膜。
实施例2
用于影像的光致硬掩膜组合物(photo hard mask compositions)通过以下方法制备:在典型的有机硅酸盐树脂(100%乙烯基三甲氧基硅烷或乙烯基三甲氧基硅烷与苯基三甲氧基硅烷(95∶5摩尔∶摩尔)的混合物)中加入8%(以树脂固体计算)的包括混合的三芳基锍六氟化锑酸盐的阳离子光致酸生成剂(可以从陶氏化学公司中获得的CYRACUREUVR-6976)。使用丙二醇甲醚醋酸酯溶剂(来自陶氏化学公司的DowanolTM的PMA)将组合物稀释到15%固体含量,然后在0.22μm的PTFE过滤器中过滤。将大约2毫升的光致硬掩膜组合物分配(混拌)在静态的4英寸(10厘米)硅片上。在分配之后,将硅片最初在500RPM下旋转5秒,随后在2000或5000RPM下进行第二个旋转周期30秒。在施加该光致硬掩膜组合物之后,将该涂布的硅片直接在设定在150℃的电炉(Model VBS-200,Solitec Wafer Processing Inc.)上预曝光烘烤60秒。
使用来自Karl Suss Mask Aligner的365纳米(I-线)的紫外光(Model MA 150)进行轻微曝光和影像。使用多传送水晶掩膜的辐射量是5到250mJ/cm2,它由3到100μm的通道组成。在轻微曝光之后,将涂布硅片在220℃的电炉上后烘烤60秒。通过将该涂布的硅片浸渍在2.38%的氢氧化四甲铵(TMAH)水溶液中进行显影,然后用去离子水漂洗。在最后步骤时,将显影的硅片放入旋转、漂洗、干燥设备(PSC-102,可以从Semitool公司中获得)中2000RPM旋转600秒以提供阴像。结果均列于表2中。
                          表2
组成        Mw            在TMAH中的              完全打开的
                          显影时间(秒)            最小通道(μm)
100         7000          180                     25
95∶5       2000          10                      15
95∶5       2000          30                      15
实施例3
90∶10烯基∶苯基有机硅酸盐树脂(MW=2120)。
使用90∶10摩尔∶摩尔的乙烯基三甲氧基硅烷和苯基三甲氧基硅烷树脂的混合物,基本上重复实施例2的反应条件。样本包括8%的CYRACURE
Figure 10003_2
UVR-6976并在500RPM下旋转5秒,随后在5000RPM下进行第二个旋转周期30秒,然后在设定在55℃的烘箱中预曝光烘烤60秒。在曝光之后,在设定在180℃的烘箱中后烘烤薄膜60秒。在2.38%的水TMAH溶液中显影薄膜。结果均列于表3中。
                    表3
辐射量(mJ/cm2)           完全打开的最小通道(μm)
50.0                      35
45.6                      25
30.9                      25
14.7                      15
6.7                       15
实施例4
除乙烯基与苯基的比率由85∶15代替90∶10以外,基本上重复实施例3的反应条件。延长反应时间以便最终分子量(Mw)标称是5000克/摩尔。将所产生的浓缩液稀释到12.7%的固体含量。将0.17克的嵌段三氟甲磺酸的热致酸生成剂,(K-PureTM 2678,可以从KingIndustries,Norwalk,Connecticut获得),加入到28.35克的稀释的有机硅烷溶液中。标称3毫升的这种溶液分配到一个以500rpm旋转的4″(10厘米)的试验硅片上。将旋转速度增加到3000rpm旋转30秒以去除溶剂。涂布的硅片在设定在200℃的烘箱中在空气下烘烤2分钟。涂布和烘烤的硅片的厚度采用NanospecTM 210 UV-VIS反射仪(可以从Nanometrics公司获得)通过UV-VIS反射测定法测量。测量厚度之后,将标称3毫升的丙二醇单甲基醚醋酸酯(PGMEA)分配到以500rpm旋转的涂布硅片上。将旋转速度增加到3000rpm旋转30秒以去除溶剂,并且将用PGMEA漂洗的硅片在200℃的烘箱中在空气下烘烤2分钟。重新测量涂布和漂洗的硅片的厚度。PGMEA漂洗以前涂层的厚度是213.7纳米,在PGMEA漂洗以后的厚度是199.2纳米,导致漂洗后保留百分比为93.3%。
实施例5
如实施例4所述的方法制造85/15有机硅酸盐溶液并将它用PGMEA稀释到15%固体含量。将1.253g的嵌段对甲苯磺酸热致酸生成剂(TAG)(K-PureYM 2278,可从King Industries中获得)加入到5.012g乳酸乙酯中。将1.916克这个TAG溶液和10.145克乳酸乙酯添加到48.089克的15%有机硅酸盐溶液中。将所产生的配方在如实施例5所述的4″(10厘米)硅片上旋转涂布。在测量该涂膜的厚度之后,用PGMEA漂洗然后测量厚度。这个薄膜的保留百分比是73.3%。
对比例A
使用实施例5所述的方法,将实施例5所使用的30克的85/15有机硅酸盐溶液旋转涂布在4″(10厘米)硅片上。在测量薄膜的厚度之后,将该硅片用PGMEA漂洗并再次测量它的厚度。这个比较对比例的薄膜的保留百分比仅仅是9%。
                     表1
样本        TAG      原始厚    漂洗后的厚    薄膜保留百分
                     度,nm    度,nm        比
实施例4    K-pure2678    213.7      199.2      93.3
实施例5    K-pure2278    294.1      215.7      73.3
对比例A    无            296.2      118.0      9.0
实施例6
抗反射涂层的组合物通过以下方法制备:在乙烯基三甲氧基硅烷与苯基三甲氧基硅烷(90∶10摩尔∶摩尔)的混合物中加入8%(以树脂固体计算)的包括混合的三芳基锍六氟化锑酸盐的阳离子光致酸生成剂(可以从陶氏化学公司中获得的CYRACURE
Figure 10003_3
UVR-6976)。使用丙二醇甲基醚醋酸酯溶剂(来自陶氏化学公司的DowanolTM的PMA)将所产生的组合物稀释到15%固体,然后在0.22μm的PTFE过滤器中过滤。将大约2毫升的组合物分配(混拌)在静态的4英寸(10厘米)硅片上,然后将硅片在500RPM下旋转5秒,随后在2000RPM下进行第二个旋转周期30秒。然后将该涂布的硅片在225℃温度的电炉中烘烤120秒并将它曝光于UV光(500瓦,汞灯泡,10秒曝光,硅片到灯泡的距离为10厘米)。
根据已知的技术,施加一般设计用于193纳米波长光的光刻胶并处理。在光刻胶和ARC材料之间的接口没有观察到混和。测试在193纳米下处理的薄膜的光学性质。0.5消光系数的30纳米厚的膜的折射率是1.85。这导致非影像光的最低反射小于1%。

Claims (10)

1.一种可固化的有机硅酸盐组合物,它用于在电子器件的制造中有效地形成硬掩膜、蚀刻停止层、抗反射层、或增粘层,所述组合物包括:
(a)具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基的硅烷,
(b)具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基的硅烷,
(c)潜在酸催化剂,
(d)任选地,具有至少一个与硅原子结合的C1-C6烷基的烷氧基或者酰氧基硅烷,
其中该组合物包括光致酸生成剂和热致酸生成剂二者。
2.根据权利要求1所述的组合物,其中具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基的硅烷是乙烯基乙酰氧基硅烷,具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基的硅烷是芳基烷氧基硅烷。
3.根据权利要求1所述的组合物,其中组合物包括:
(a)50到95摩尔百分比的硅烷,它具有通式:
其中Ra是C1-C6烷撑、芳撑、或直键;Ya是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨乙基-氨基、3-氨基、-SiZa 2OXa、或-OXa;每出现的Xa独立地是C1-C6烷基或C2-C6酰基;且Za是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXa,条件是至少一个Ya、Za或Xa是烯不饱和的,
(b)5到40摩尔百分比的
其中Rb是C1-C6烷撑、芳撑、或直键;Yb是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨乙基-氨基、3-氨基、-SiZb 2OXb、或-OXb;每出现的Xb独立地是C1-C6烷基或C2-C6酰基;和Zb是C1-C6烷基、C2-C6烯基、C2-C6炔基、C6-C20芳基、或-OXb,规定至少一个Yb、Zb或Xb包括芳环,
(c)潜在酸催化剂;
(d)0到45摩尔百分比的
其中Rc是C1-C6烷撑、芳撑、或直键;Yc是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-C20芳基、3-甲基丙烯酰氧基、3-丙烯酰氧基、3-氨乙基-氨基、3-氨基、-SiZc 2OXc、或-OXc;每出现的Xc独立地是C1-C6烷基或C2-C6酰基;和Zc是C1-C6烷基、C2-C6烯基、C2-6炔基、C6-20芳基、或-OXc,规定至少一个Zc或Rc-Yc的组合包括C1-6烷基,
所述的摩尔百分比是以存在的硅烷(a)、(b)和(d)的总摩尔数计算的。
4.根据权利要求1所述的组合物,其中芳环基团是苯基或蒽基。
5.根据权利要求1至4任一项所述的组合物的水解或部分水解产物。
6.一种形成多层薄膜制品的方法,包括:
提供基材;
在该基材上形成第一层,其中第一层具有小于3.0的介电常数并包括有机、无机或者杂化聚合物;
在第一层之上施加根据权利要求1所述的有机硅酸盐组合物;
固化该有机硅酸盐组合物以形成有机硅酸盐树脂层,
将低介电常数的有机、无机或杂化聚合物的第二层施加到该有机硅酸盐层之上。
7.权利要求6所述的方法,其中有机硅酸盐层充当埋入的蚀刻停止层。
8.一种在基材上形成抗反射涂层的方法,所述方法包括:
提供基材;
在至少一部分基材之上或在一个或多个施加在所述基材之上的中间层之上沉积并固化多层有机硅酸盐组合物;
固化该有机硅酸盐组合物以形成有机硅酸盐树脂层,
其特征在于
所述有机硅酸盐组合物的每层具有些微不同的UV光吸收性质,并且所述有机硅酸盐组合物包括
(a)具有至少一个包含与硅原子结合的烯不饱和基团的烷氧基或者酰氧基的硅烷,
(b)具有至少一个包含与硅原子结合的芳环基团的烷氧基或者酰氧基的硅烷,
(c)潜在酸催化剂,
(d)任选地具有至少一个与硅原子结合的C1-C6烷基的烷氧基或者酰氧基硅烷。
9.权利要求8所述的方法,其中潜在酸催化剂是热致酸生成剂或光致酸生成剂。
10.权利要求8所述的方法,其中有机硅酸盐组合物具有不同的固化机理。
CN2004800089433A 2003-04-02 2004-03-31 用于微电子器件的有机硅酸盐树脂配方 Expired - Fee Related CN1768418B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45973003P 2003-04-02 2003-04-02
US60/459,730 2003-04-02
PCT/US2004/010330 WO2004090965A2 (en) 2003-04-02 2004-03-31 Organosilicate resin formulation for use in microelectronic devices

Publications (2)

Publication Number Publication Date
CN1768418A CN1768418A (zh) 2006-05-03
CN1768418B true CN1768418B (zh) 2011-01-19

Family

ID=33159680

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800089433A Expired - Fee Related CN1768418B (zh) 2003-04-02 2004-03-31 用于微电子器件的有机硅酸盐树脂配方

Country Status (9)

Country Link
US (1) US8178159B2 (zh)
EP (2) EP2278611B1 (zh)
JP (1) JP2006522861A (zh)
KR (1) KR101197526B1 (zh)
CN (1) CN1768418B (zh)
AT (1) ATE487231T1 (zh)
DE (1) DE602004029879D1 (zh)
TW (1) TW200505966A (zh)
WO (1) WO2004090965A2 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
JP5412037B2 (ja) * 2004-12-17 2014-02-12 ダウ・コーニング・コーポレイション シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
ATE400672T1 (de) * 2004-12-17 2008-07-15 Dow Corning Verfahren zur ausbildung einer antireflexionsbeschichtung
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
KR101324052B1 (ko) 2006-02-13 2013-11-01 다우 코닝 코포레이션 반사방지 코팅 재료
JP5007511B2 (ja) * 2006-02-14 2012-08-22 富士通株式会社 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
US20070212886A1 (en) * 2006-03-13 2007-09-13 Dong Seon Uh Organosilane polymers, hardmask compositions including the same and methods of producing semiconductor devices using organosilane hardmask compositions
US7629260B2 (en) * 2006-03-22 2009-12-08 Cheil Industries, Inc. Organosilane hardmask compositions and methods of producing semiconductor devices using the same
KR20070095736A (ko) * 2006-03-22 2007-10-01 제일모직주식회사 유기실란계 중합체를 포함하는 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US8581094B2 (en) 2006-09-20 2013-11-12 Dow Global Technologies, Llc Electronic device module comprising polyolefin copolymer
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP5470687B2 (ja) * 2007-08-10 2014-04-16 富士通株式会社 シリコン化合物、紫外線吸収体、多層配線装置の製造方法および多層配線装置
WO2009088600A1 (en) 2008-01-08 2009-07-16 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US9023433B2 (en) 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
CN101990551B (zh) 2008-03-04 2012-10-03 陶氏康宁公司 倍半硅氧烷树脂
KR101541939B1 (ko) 2008-03-05 2015-08-04 다우 코닝 코포레이션 실세스퀴옥산 수지
EP2373722A4 (en) 2008-12-10 2013-01-23 Dow Corning SILSESQUIOXAN RESINS
JP5062352B2 (ja) * 2010-09-09 2012-10-31 Jsr株式会社 レジストパターン形成方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9011591B2 (en) 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9366964B2 (en) 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
US8748317B2 (en) 2012-08-03 2014-06-10 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device including a dielectric structure
US8999625B2 (en) * 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR101807208B1 (ko) 2015-08-18 2017-12-08 주식회사 엘지화학 저굴절층 및 이를 포함하는 반사 방지 필름
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
KR102016710B1 (ko) 2016-01-07 2019-09-02 주식회사 엘지화학 반사 방지 필름

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5700899A (en) * 1994-06-15 1997-12-23 Shin-Etsu Chemical Co., Ltd. Curable silicone compositions
WO2002016477A2 (en) * 2000-08-21 2002-02-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
CN1342328A (zh) * 1999-01-07 2002-03-27 联合讯号公司 有机氢化硅氧烷树脂介电膜
US6406794B1 (en) * 2001-02-08 2002-06-18 Jsr Corporation Film-forming composition
EP1246239A1 (en) * 2001-03-30 2002-10-02 JSR Corporation Method of forming dual damascene structure
US20020187422A1 (en) * 2000-06-23 2002-12-12 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3954475A (en) 1971-09-03 1976-05-04 Minnesota Mining And Manufacturing Company Photosensitive elements containing chromophore-substituted vinyl-halomethyl-s-triazines
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
US4603101A (en) 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
US4731605A (en) 1987-02-20 1988-03-15 Nixon James E Remote garage door opener conversion
US5155175A (en) 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
US5179188A (en) 1990-04-17 1993-01-12 Raychem Corporation Crosslinkable fluorinated aromatic ether composition
US5115082A (en) 1990-04-17 1992-05-19 Raychem Corporation Fluorinated poly(arylene ether)
JP2667742B2 (ja) * 1990-08-28 1997-10-27 沖電気工業株式会社 感光性樹脂組成物
US5262280A (en) 1992-04-02 1993-11-16 Shipley Company Inc. Radiation sensitive compositions
JPH06148895A (ja) * 1992-11-06 1994-05-27 Toray Ind Inc 感光性樹脂組成物およびこれを用いたパターン形成方法
JPH06145599A (ja) * 1992-11-06 1994-05-24 Toray Ind Inc コーティング用組成物
US5994489A (en) 1994-10-24 1999-11-30 The Dow Chemical Company Adhesion promoter and self-priming arylcyclobutene resin compositions
US5959157A (en) 1995-06-26 1999-09-28 Alliedsignal, Inc. Process for making hydroxy-substituted ethynylated biphenyl compounds
US5986045A (en) 1995-06-26 1999-11-16 Alliedsignal Inc. Poly(arylene ether) compositions and the method for their manufacture
US5874516A (en) 1995-07-13 1999-02-23 Air Products And Chemicals, Inc. Nonfunctionalized poly(arylene ethers)
TW412567B (en) 1995-07-27 2000-11-21 Toray Industries Polyester composition and its film
JP4260882B2 (ja) 1995-09-12 2009-04-30 ダウ グローバル テクノロジーズ インコーポレイティド エチニル置換芳香族化合物、それらの合成、ポリマーおよび使用
US5965679A (en) 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
FR2754921B1 (fr) 1996-10-22 1998-12-18 Dziulko Adolphe Richard Montre services-tennis permettant de visualiser instantanement la vitesse de la balle de service
US6218078B1 (en) 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6184284B1 (en) 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
US6218317B1 (en) 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP2001022082A (ja) * 1999-07-12 2001-01-26 Jsr Corp レジスト下層膜用組成物の製造方法
JP3361779B2 (ja) * 1999-08-05 2003-01-07 日本山村硝子株式会社 コーティング組成物
US6498399B2 (en) 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
EP1493183B1 (en) * 2002-04-02 2012-12-05 Dow Global Technologies LLC Process for making air gap containing semiconducting devices and resulting semiconducting device
KR101051276B1 (ko) * 2002-04-02 2011-07-22 다우 글로벌 테크놀로지스 엘엘씨 이중 다마신 배선의 패터닝을 위한 3층 마스킹 구조물
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5700899A (en) * 1994-06-15 1997-12-23 Shin-Etsu Chemical Co., Ltd. Curable silicone compositions
CN1342328A (zh) * 1999-01-07 2002-03-27 联合讯号公司 有机氢化硅氧烷树脂介电膜
US20020187422A1 (en) * 2000-06-23 2002-12-12 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
WO2002016477A2 (en) * 2000-08-21 2002-02-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6406794B1 (en) * 2001-02-08 2002-06-18 Jsr Corporation Film-forming composition
EP1246239A1 (en) * 2001-03-30 2002-10-02 JSR Corporation Method of forming dual damascene structure

Also Published As

Publication number Publication date
KR20050108417A (ko) 2005-11-16
US8178159B2 (en) 2012-05-15
TW200505966A (en) 2005-02-16
EP1614151B1 (en) 2010-11-03
EP1614151A2 (en) 2006-01-11
WO2004090965A2 (en) 2004-10-21
EP2278611B1 (en) 2012-09-19
ATE487231T1 (de) 2010-11-15
DE602004029879D1 (de) 2010-12-16
CN1768418A (zh) 2006-05-03
EP2278611A1 (en) 2011-01-26
KR101197526B1 (ko) 2012-11-09
JP2006522861A (ja) 2006-10-05
WO2004090965A3 (en) 2005-04-14
US20070185298A1 (en) 2007-08-09

Similar Documents

Publication Publication Date Title
CN1768418B (zh) 用于微电子器件的有机硅酸盐树脂配方
KR100853004B1 (ko) 축합계 폴리머를 갖는 반도체용 반사 방지막
TWI424033B (zh) 包含稠合芳香環之抗反射塗料組合物
TWI490654B (zh) 含有含羥基縮合系樹脂之光阻底層膜形成組成物
EP2691439B1 (en) Antireflective coating composition and process thereof
KR20110084901A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
WO2010143054A1 (en) Spin on organic antireflective coating composition comprising polymer with fused aromatic rings
KR20110084900A (ko) 융합 방향족 고리를 포함하는 반사방지 코팅 조성물
US7736833B2 (en) Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
WO2007036982A1 (ja) イソシアヌル酸化合物と安息香酸化合物との反応生成物を含む反射防止膜形成組成物
KR101400182B1 (ko) 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
CN113994261A (zh) 包含具有二氰基苯乙烯基的杂环化合物的能够湿蚀刻的抗蚀剂下层膜形成用组合物
US7361444B1 (en) Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
KR20190038895A (ko) 하층 반사 방지막 형성 조성물
KR100673625B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
CN102770807A (zh) 抗反射硬掩模组合物以及使用其制备图案化材料的方法
KR101711424B1 (ko) 반도체 기판 코팅을 위한 비중합체성 결합제
KR100938445B1 (ko) 갭-필 조성물 및 이를 이용한 반도체 소자의 배선 형성방법
JP7416062B2 (ja) レジスト下層膜形成組成物
JP4742995B2 (ja) 感光性樹脂組成物、パターンの製造方法及び電子部品
CN113994263A (zh) 包含二氰基苯乙烯基的能够湿蚀刻的抗蚀剂下层膜形成用组合物
JP7428766B2 (ja) ハードマスク組成物、ハードマスク層およびパターン形成方法
KR20240001312A (ko) 레지스트패턴 형성방법
KR20220079828A (ko) 레지스트 하층막 형성 조성물
CN115427891A (zh) 抗蚀剂下层膜形成用组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110119

Termination date: 20210331