CN1309418A - 在基片上成膜的方法和装置 - Google Patents

在基片上成膜的方法和装置 Download PDF

Info

Publication number
CN1309418A
CN1309418A CN01101669A CN01101669A CN1309418A CN 1309418 A CN1309418 A CN 1309418A CN 01101669 A CN01101669 A CN 01101669A CN 01101669 A CN01101669 A CN 01101669A CN 1309418 A CN1309418 A CN 1309418A
Authority
CN
China
Prior art keywords
layer
etching
etch stop
etch
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01101669A
Other languages
English (en)
Other versions
CN1185693C (zh
Inventor
约汉·迈克内尔
罗伯特·约汉·维尔比
努特·比克曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Europe Ltd
Original Assignee
Aviza Europe Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Europe Ltd filed Critical Aviza Europe Ltd
Publication of CN1309418A publication Critical patent/CN1309418A/zh
Application granted granted Critical
Publication of CN1185693C publication Critical patent/CN1185693C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种在两层介质层中间含有一层居中的蚀刻阻挡层的半导体器件,其中每层的介质常数k≤3.5而且蚀刻阻挡层相对于上层的选择性至少是2.5∶1。还描述了可形成如作为蚀刻阻挡层用的掺氮碳化硅膜的方法和器件。

Description

在基片上成膜的方法和装置
本发明涉及在基片上形成膜的方法和装置,尤其但不唯一地涉及低k蚀刻阻挡膜的形成以及内含这类膜的器件。为了说明起见,这里的低k专指等于或小于3.5的介电常数。
镶嵌和双镶嵌工艺正日益在半导体晶片制造中流行,尤其是在把铜作为内连金属的工艺中。这是因为铜的等离子蚀刻相对比较困难,所以优选为在介质层上蚀刻出构形,然后再把铜沉积在已蚀刻出的构形上并把它填充。任何多余的铜能通过诸如化学机械抛光的方法从表面移走以便把镶嵌的铜留在已蚀刻的器件中。
在作双镶嵌工艺时要把两个分立且互连的特性蚀刻在各自介质层中,一层排在另一层之上。于是在上层蚀刻出一条沟槽而在下层可形成通孔以便把沟槽和位于下层的许多触点相连接。这种结构的许多例子已由Peter Singer发表在1999.8的Semiconductor International的名称为Damascene Challenges,Dielectric Etch的论文所讨论。
通常形成双镶嵌特性的途径是在两介质层之间沉积一层蚀刻阻挡层,当自动蚀刻设备穿透第一层时该蚀刻阻挡层便会给它发出一个有效的“终点”信号。这种闭环控制是较佳的,因为它与开环定时蚀刻控制相比,可以对受蚀刻的特性作出更精确的控制。
所以,蚀刻阻挡层势必需要对蚀刻过程相对于上层有较高选择性以便蚀刻得非常慢,留下时间使控制过程发生。
最近,人们通常希望整个介质结构要有一个低的k值,从而导致人们希望蚀刻阻挡层也有一个低的k值。
另外,一种硅烷基的等离子成形氮化硅已经用作与二氧化硅型层相联系的蚀刻阻挡层,但是这种氮化硅比起标准二氧化硅的4.1的k值来和人们认可的小于3.5的低k值要求来它的k值常常达到7.5。碳化硅也曾建议作为一个可供选择的蚀刻阻挡材料但其k值是9-10,而且会使介质堆叠层的k值明显升高。氮化硅层也发现有问题,即它们会产生一种良好的防水层而许多低k处理还取决于在处理时迫使介质层脱水的能力。
进一步说,现在的氮化硅技术还未必能与用来形成低k层的化学机理相容。
这些问题已在WO-A-99/41423中讨论过,但这份专利申请的结论是一种好的与此相适应的蚀刻阻挡层应具有明显的氧化物含量。许多推荐方法也已提出但是它们看来似乎需要许多其k值明显地各不相同的堆叠层。
从一个方面看本发明的特征在于它是一种在介质堆叠层上形成双镶嵌结构的半导体器件,这个堆叠层包括内含第一蚀刻构形的上层,居中的蚀刻阻挡层和内含第二蚀刻构形的下层,第一、二构形是相连的,每层有一个小于等于3.5的介电常数,k更好的是在3.0以下,相对于上层来说,蚀刻阻挡层至少有2.5∶1的选择性。
较佳地该蚀刻阻挡层是和下层相集成的,尤其较佳地该蚀刻阻挡层是由掺氮的碳化硅形成的。
在一个特别优选的方案中蚀刻阻挡层的k值大体上是可以使之与堆叠层中其他各层的k值相等的。意想不到的是还发现掺氮碳化硅的k值竟可随着掺氮发生时氮的含量来调整的。所以,这一蚀刻阻挡层的k值至少在某种程度上是可以随着其他介质层的k值而予以匹配的。
如上所述,蚀刻阻挡层是可以和下层集成的,这是因为掺氮碳化硅的k值是足够低的以至于它自身可被视为是一种低k值的介质材料。
从第二方面看本发明的特征在于它含有一种用掺氮碳化硅形成的低k值介质层。
再进一步看,本发明的特征还在于:它含有一种在基片上形成一层低k值膜的方法,包括以下步骤:
(a)把基片放置在反应室内的支撑物上;
(b)往室里加入气态或汽化物形式的含硅有机化合物和氮以等离子形式存在以在基片上沉积一层掺氮的碳化硅膜。
含有硅的有机物可以是烷基硅烷,其中尤其是四烷基甲硅烷。特别优选为含硅有机物是四甲基硅烷。
这种膜可以在等于或低于室温下沉淀在已定位的一片基片上,在沉积这种膜时可提供RF能源。
虽然本发明已定义如上,但可以理解的是它还包含了以上已提出或在下面所描述的涉及本发明特征的任何其他发明组合。
本发明可用各种方法实现,将通过带有下述附图的具体实施例予以描述:
图1是一个使用本发明的装置的剖视图;
图2~图4是用于说明按照本发明形成且配置在介质堆叠层内时蚀刻阻挡层的可检测性的附图;
图5(a)~(e)是说明布线沟道及其连接通道的形成的剖视图。
参阅图1,如图所示总体用1表示装置,它含有一个带簇射头3和晶片支撑物或台板4的真空室2。簇射头3与一个射频源(未示)RF相连构成一个电极而支撑物4接地形成另一个电极。或者,射频源RF也可与支撑物4相连而簇射头3接地。簇射头3经管状物(未示)与相应的四甲基硅烷和一种或多种气体的气源相连。这种装置一般结构已包含在参考文献EP-A-0731982,在此作为参考。但是,一个标准(非二重)的簇射头则是常用的。
在使用时,实际上该装置设置成根据所供应的其他气体的性质去沉积许多层。如果其他气体是氧或含氧气体,则可以形成一层低k值的掺碳的二氧化硅层。反之如其他气体是氮气,则根据氮气流速的不同,从纯碳化硅层(实际上不存在氮)到掺碳的氮化硅层(高速氮气流)的任何膜都能形成。申请人发现:对氮气流速进行适当的调整就可形成其k值近似于或等于上述掺碳二氧化硅层的掺氮碳化硅膜。这样就能在单一的室内形成一个同时含有掺碳二氧化硅层,掺氮碳化硅层和掺碳二氧化硅层的介质堆叠层。这个堆叠层不仅从低k的角度来看是所特别希望的而且也是一种既简单又生产率高的形成方法。
因而,根据实验,开发出一种特别有效的介质阻挡层,它是通过引入一种可视为掺甲基的碳化硅/氮化物来形成的,其k值接近2.6。实验发现,如果碳对氮的比率下降至更多形成的是掺碳的氮化硅,则k值就会升高至4.6左右。在两种材料之间是没有严格的转换点的。把更多的氮加到工作气体中去便会提高氮对碳的比值以至于一个极限材料(无氮)可以认为是碳化硅,还有另外一种极限情况是含碳氮化硅。所有的膜都含氢。
在这实验中其工艺条件如下:压力        TMS流      氧气流    氮气流    RF功率     温度低k值掺碳的二氧化硅               k=2.6    SiO2(C)掺甲基二氧化硅3000mT    ~80sccm     100sccm    500sccm  100W       0~25℃低k值掺氮的碳化硅                 k=2.6    SiC(N)掺甲基碳化硅/氮化物1500mT    ~80sccm     无         50sccm   200w        0~25℃低k值掺碳氮化硅                   k=4.6    SiN(C)掺甲基氮化硅500mT     ~20sccm     无         500sccm  1000W       0~25℃
由此可见,在特别选定的氮气流下,低k值掺氮碳化硅其k值精确地等于如上所述形成的低k值的掺碳二氧化硅。
射频功率经380kHz的信号发生器加到簇射头电极上,台板则维持在等于或低于室温状况。零下的温度对工艺是有用的,但在一般情况下,这种工艺是在室温或室温和0℃之间运行的。
进一步的实验是在13.56mHz RF功率时进行的。实验发现SiO2(C)和SiC(N)两者的结果是显著不同的。在SiO2(C)的情况下,沉淀速度提高了而且厚度均匀性也得到了改进,而对SiC(N)材料而言,速度下降而且均匀性变坏。由此可进一步设定:本发明所述的低k值蚀刻阻挡层可以由在高频下(在4mHz以上)沉积的SiO2(C)和在低频(4mHz以下)下沉积的SiC(N)形成。
晶片与簇射头和电极的间距将影响成膜的均匀度,应通过实验来使膜的均匀性达到极大。四甲基硅烷(TMS)的流速是估计的,因为根据共同未决的申请号为No.9922691.2的英国专利申请文件中给出的理由它是难以确定的。
实验最初是在没有涂抗蚀剂的硅晶片上进行的,在蚀刻工艺相同时,其蚀刻速度如下:
膜的类型  母体 蚀刻速度
 SiO2(C)  TMS/O2  9,377/min
 SiC(N)  TMS/N2  3,222/min
 SiN(C)  TMS/N2  4,787/min
从这些蚀刻速度可以计算出:蚀刻选择率是2.9∶1(SiO2∶SiC),它比起k值更高的标准蚀刻阻挡层来还是要好得多。
因而出乎意外的是:掺氮SiC(N)相对于掺碳的氮化硅而言它更适于作低k值的蚀刻阻挡材料。
于是,堆叠式结构基本上采用上述的沉积工艺而构成,但还包含一项氢等离子处理工艺,用已经在作为参考文献的申请号为9922801.7的我们共同未决英国专利申请中公开的方法。这项氢等离子气处理工艺改进了低k值膜的性能即把BOE湿处理蚀刻速度从10,000/min以上降到和热氧化处理同一等级的水平(约550/min),降低了氢和碳的含量,明显地提高了密度并降低了膜的吸水性从而减少了裂化的可能性。
这种组合的堆叠层含有中间被一层厚度为500的SiC(N)隔开的两层厚度为7000的SiO2(C)层。其中每层二氧化硅层都用氢等离子体处理过。
蚀刻实验是在不同时间下进行的且终点检测器的输出被记录。用终点检测器来监控440nm发射谱线上的光强度。终点检测器的输出已示于图2和图3中。(图3至4的纵轴表示在任选单位下增加的信号强度)。
进一步的实验是对SiC(N)层在SiO2(C)层上进行的,该实验的终点信号输出见图4。
接着,再在已构图的晶片上进一步作实验。使用两种不同的图形,相应地,一个其敞口区是小的(常用的接点/通孔),另一个其敞口区是大的(可与内连的相比较的)。使用按以上描述的SiO2(C),SiC(N)和SiN(C)。
其结果可概述如下:
膜的类型 蚀刻速度/min 非均匀性+/-% 选择性对TMS/O2 SiO2
                          内连掩膜
TMS/O2 SiO2(C)TMS/N2 SiC型TMS/N2 SiN型  10,6113,5244,224  8.35.36.6 3.01
                     接点/通孔掩膜
TMS/O2 SiO2(C)TMS/N2 SiC型TMS/N2 SiN型  11,3283,8753,932  4.45.99.0 2.92
由此可见,除了k值更高以外,SiN的蚀刻特性是在低k值SiC材料之下。不论制作布线图案与否,在低k值SiO2(C)对SiC(N)的选择性上没有明显的区别。在没有光致抗蚀刻的情况下选择性是2.9∶1,从这两个实验值3.01∶1和2.92∶1可大致得出3∶1的值。把蚀刻选择性和十分令人满意的均匀度结合在一起可以看出:SiC(N)是一种实用的蚀刻阻挡材料,从图可看出:实用清晰的终点信号产生在440nm线处。
如上所述,SiC(N)材料作为低k值介质自身具备了令人满意的性能,同时有可能形成两层堆叠层而不存在明确分离的“蚀刻阻挡”层。真正的堆叠层是由k值相似但蚀刻特性明显相异的材料层构成,其层间转换可以检测并实现自动化处理。
一个具体的应用实例,受益于使用两种低k值材料而不使用蚀刻阻挡层的,是一种可在双镶嵌应用中形成低k值双层构造。在这里一层是用作形成通孔而另一层是用作形成上面的沟槽。作为一个例子,一种“沟槽优先”方案可用于对上面覆盖的SiO2(C)层作较快的蚀刻,而对形成通孔的那一层作较慢的蚀刻。沟槽图案可用光刻技术在其表面形成并蚀刻沟槽图案。一个终点信号会在蚀刻到下层材料时产生并实施定时过蚀刻。然后再把蚀刻掩膜(如光致抗蚀剂)去掉并对晶片构图下面的通孔。然后再在下面低k值层上蚀刻通孔。
一项可供选择的程序展示在图5中。可以看出:图5(a)~(e)说明了一种布线沟道和通孔组合的成形方法,该方法在利用上述材料良好的低k值特性的同时也利用了其所能达到的不同蚀刻速度。特别有利的是所述方法对于布线沟道底部的通孔而言,它不需要光刻和形成掩膜。当布线越来越窄的时候,沟道底部的掩蔽就会越益困难。
在图5(a)中,第一层是低k值绝缘材料层10,它是沉积在基片11上的,局部通孔蚀刻在该材料的表面上,标以12。此时在蚀刻通孔构形12时是相当直接的,因为层10的整个表面是完全暴露在外的。图5(b)中,在沉积第二共形层13时要填满构形12但其结构造形如14所示能反映在层13的表面上。然后在层13的上表面掩蔽所希望的布线图案并如图5(c)所示局部蚀刻出布线沟道15。与此同步而且不可避免的是也要对构造14的底部进行蚀刻而且要如图5(c)和(d)所示一直向下蚀刻到构造12。在图5(d)所示的点上,层13中留下距离X未蚀刻,而层11中留下距离Y未蚀刻。虽然在示意图上并不清楚但Y常常比X大而且比率Y/X将决定层13和11的材料各自所选用的蚀刻速度。在如图所示的布置下,事实上Y大约为X的二倍,相应地材料11的蚀刻速度也约为材料13的两倍。
材料11可按上述方式方便地提供蚀刻阻挡信号。因而看一下上述的蚀刻速度可以看出:掺碳氮化硅和掺碳二氧化硅提供蚀刻速度比或选择性大约为2∶1,而如前所述,二氧化硅对掺氮碳化硅的选择性大约为3∶1。调整掺杂即可获得其他一些选择性。

Claims (16)

1.一种半导体器件,含有在介质堆叠层内形成的双镶嵌结构,该堆叠层包括内含第一蚀刻构造的上层,中间蚀刻阻挡层以及内含第二蚀刻构造的下层,第二构造经蚀刻阻挡层与第一构造相连,每层具有介电常数k≤3.5,蚀刻阻挡层相对于上层的选择性至少为2.5∶1。
2.根据权利要求1所述的半导体器件,其中,所述的蚀刻阻挡层是与下层相集成的。
3.根据权利要求1或2所述的器件,其中,所述的蚀刻阻挡层是由掺氮的碳化硅形成的。
4.一种在基片上形成低k值膜的方法,包括:
(a)把基片放置在室内的一个支撑物上;
(b)往室里加入气态或蒸汽形式的含硅有机化合物和氮在有等离子体存在的条件下向基片上沉积一层掺氮碳化硅膜。
5.根据权利要求5所述的方法,其中,掺氮的碳化硅是在低于4MHz频率驱动下由等离子体沉积的。
6.根据权利要求4或5中所述的方法,其中,所述的含硅有机化合物是烷基硅烷。
7.根据权利要求4~6中任一项的方法,其中,所述的含硅有机化合物是四烷基甲硅烷。
8.根据权利要求4~6中任一项的方法,其中,所述的含硅有机化合物是四甲基硅烷。
9.一种蚀刻阻挡层含有掺氮的碳化硅。
10.一种电介质堆叠层,其中每层由不同的材料形成,各层材料可检测到不同的蚀刻特性但具有基本相等的介电常数。
11.根据权利要求10所述的介质堆叠层,其中,邻接层之间的选择性至少为2.5∶1。
12.一种形成双镶嵌结构的方法,包括:把具有第一蚀刻速度的第一绝缘材料层沉积在半导体晶片上,在第一层中部分地蚀刻出一或多个通孔,接着把第二绝缘材料层沉积在第一层上,使得第二材料填满部分地蚀刻出的通孔并在第二层的表面显现出相应的构造,在第二层上蚀刻出容纳布线线路的沟道使得该沟道包含相应的构造,各类材料相对蚀刻速度是这样的:当把沟道蚀刻到第一层的表面时,通孔被充分地蚀刻通过第一层。
13.根据权利要求10或11所述的堆叠层,其中,邻接层的材料其介电常数的相异性在小于10%内变化。
14.根据权利要求13所述的方法,其中,所述第一层的蚀刻速度大约为第二层的二倍。
15.根据权利要求12所述的方法,其中,所述的第一层是掺碳的SiO2而第二层是掺氮的SiC或掺碳的氮化硅。
16.一种形成低k值蚀刻阻挡层的方法,包括:在大于4MHz频率下通过基于等离子体的反应沉积掺碳的SiO2以及在小于4MHz频率下通过基于等离子体的反应把掺氮的SiC沉积到SiO2材料上。
CNB011016698A 2000-01-19 2001-01-19 低k值蚀刻阻挡层的形成方法和一种半导体器件 Expired - Fee Related CN1185693C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0001179.1 2000-01-19
GBGB0001179.1A GB0001179D0 (en) 2000-01-19 2000-01-19 Methods & apparatus for forming a film on a substrate

Publications (2)

Publication Number Publication Date
CN1309418A true CN1309418A (zh) 2001-08-22
CN1185693C CN1185693C (zh) 2005-01-19

Family

ID=9883946

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011016698A Expired - Fee Related CN1185693C (zh) 2000-01-19 2001-01-19 低k值蚀刻阻挡层的形成方法和一种半导体器件

Country Status (6)

Country Link
US (2) US6627535B2 (zh)
JP (1) JP2001244337A (zh)
KR (1) KR20010076361A (zh)
CN (1) CN1185693C (zh)
DE (1) DE10101766A1 (zh)
GB (2) GB0001179D0 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100382301C (zh) * 2003-05-08 2008-04-16 国际商业机器公司 生成多孔有机电介质的方法
CN100403540C (zh) * 2004-04-27 2008-07-16 台湾积体电路制造股份有限公司 集成电路元件及其形成方法
CN1716546B (zh) * 2004-06-30 2012-01-04 台湾积体电路制造股份有限公司 介电层及集成电路
CN102437053A (zh) * 2005-05-26 2012-05-02 应用材料公司 增加pecvd氮化硅膜层的压缩应力的方法
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN103137598A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN111463123A (zh) * 2019-01-18 2020-07-28 东京毅力科创株式会社 蚀刻膜的方法
CN112201570A (zh) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 一种减少光刻胶中毒的工艺方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP4048112B2 (ja) 2000-08-18 2008-02-13 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
GB0117600D0 (en) 2001-07-19 2001-09-12 Trikon Holdings Ltd Semiconductor structure
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
JP3716218B2 (ja) * 2002-03-06 2005-11-16 富士通株式会社 配線構造及びその形成方法
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
US20050239284A1 (en) * 2004-04-21 2005-10-27 International Business Machines Corporation Wiring structure for integrated circuit with reduced intralevel capacitance
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
JP2007165717A (ja) * 2005-12-15 2007-06-28 Tokyo Electron Ltd 成膜方法及び成膜装置
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59119733A (ja) 1982-12-24 1984-07-11 Toshiba Corp 半導体装置
US5244698A (en) 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100382301C (zh) * 2003-05-08 2008-04-16 国际商业机器公司 生成多孔有机电介质的方法
CN100403540C (zh) * 2004-04-27 2008-07-16 台湾积体电路制造股份有限公司 集成电路元件及其形成方法
CN1716546B (zh) * 2004-06-30 2012-01-04 台湾积体电路制造股份有限公司 介电层及集成电路
CN102437053A (zh) * 2005-05-26 2012-05-02 应用材料公司 增加pecvd氮化硅膜层的压缩应力的方法
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN103137598A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103137598B (zh) * 2011-12-02 2016-01-06 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN111463123A (zh) * 2019-01-18 2020-07-28 东京毅力科创株式会社 蚀刻膜的方法
CN111463123B (zh) * 2019-01-18 2024-04-05 东京毅力科创株式会社 蚀刻膜的方法
CN112201570A (zh) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 一种减少光刻胶中毒的工艺方法

Also Published As

Publication number Publication date
GB0101160D0 (en) 2001-02-28
US20040056356A1 (en) 2004-03-25
GB2361808A (en) 2001-10-31
JP2001244337A (ja) 2001-09-07
GB2361808B (en) 2004-08-11
US6627535B2 (en) 2003-09-30
DE10101766A1 (de) 2001-07-26
GB0001179D0 (en) 2000-03-08
KR20010076361A (ko) 2001-08-11
CN1185693C (zh) 2005-01-19
US20010030369A1 (en) 2001-10-18

Similar Documents

Publication Publication Date Title
CN1185693C (zh) 低k值蚀刻阻挡层的形成方法和一种半导体器件
US6255233B1 (en) In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
KR100407542B1 (ko) 반도체 장치 및 그 제조 방법
JP4235379B2 (ja) エアギャップを有するsti構造体の製造方法
KR100661201B1 (ko) 절연층을 증착하고 에칭하기 위한 방법
KR100397174B1 (ko) 스핀온글래스 조성물
KR20170126827A (ko) 하드마스크 물질
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
JPS6365625A (ja) エッチング方法
US6207554B1 (en) Gap filling process in integrated circuits using low dielectric constant materials
CN1065657C (zh) 半导体装置的制造方法
CN103633014B (zh) 半导体器件制造方法
US20030054616A1 (en) Electronic devices and methods of manufacture
KR100414611B1 (ko) 반도체 장치의 제조 방법
TW200406853A (en) Silicon-rich oxide for copper damascene interconnect incorporating low dielectric constant dielectrics
WO2005071752A1 (en) Gradient deposition of low-k cvd materials
CN103050396B (zh) 多层介质刻蚀方法
US7754579B2 (en) Method of forming a semiconductor device
KR20100044227A (ko) 층간 절연막 및 배선 구조와 그것들의 제조 방법
JP2000243831A (ja) 半導体装置とその製造方法
JP2000058641A (ja) 半導体装置、その製造方法及び層間絶縁膜の形成方法
KR100367852B1 (ko) 포토레지스트에 대해 고선택비를 갖는 에칭 방법
TW457633B (en) Gap filling process in integrated circuits using low dielectric constant materials
JPH08203892A (ja) 半導体装置の製造方法および半導体製造装置
JPH07288254A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1056678

Country of ref document: HK

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050119

Termination date: 20110119