JP2001244337A - 基材上にフィルムを作る方法及び装置 - Google Patents

基材上にフィルムを作る方法及び装置

Info

Publication number
JP2001244337A
JP2001244337A JP2001010386A JP2001010386A JP2001244337A JP 2001244337 A JP2001244337 A JP 2001244337A JP 2001010386 A JP2001010386 A JP 2001010386A JP 2001010386 A JP2001010386 A JP 2001010386A JP 2001244337 A JP2001244337 A JP 2001244337A
Authority
JP
Japan
Prior art keywords
layer
etch stop
doped
nitrogen
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001010386A
Other languages
English (en)
Inventor
John Macneil
マクネイル ジョン
Robert John Wilby
ジョン ウィルビー ロバート
Knut Beekmann
ビークマン ナット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Europe Ltd
Original Assignee
Aviza Europe Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Europe Ltd filed Critical Aviza Europe Ltd
Publication of JP2001244337A publication Critical patent/JP2001244337A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Abstract

(57)【要約】 【課題】 低誘電率でもあるエッチング停止層を提供す
る。 【解決手段】 2つの誘電体層の間に中間エッチング停
止層組み込んだ半導体デバイスとする。ここでそれぞれ
の層の誘電率は3.5未満であり、エッチング停止層
は、上側層に対する選択率が少なくとも2.5:1であ
る。窒素でドープされた炭化ケイ素層10を製造する方
法及び装置とする。この層は、例えばエッチング停止層
として提供する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基材上にフィルム
を形成する方法及び装置に関する。また本発明は特に、
限定するわけではないが、低誘電率のエッチング停止フ
ィルム及びそのようなフィルムを有するデバイスを製造
する方法及び装置を提供する。本明細書の記載では、低
誘電率とは、3.5又はそれ未満の誘電率を表してい
る。
【0002】特に相互接続金属として銅を使用する場合
に、ダマシン及びデュアルダマシン処理は、半導体ウェ
ハーの製造においてより一般的になってきている。これ
は、銅のプラズマエッチングが比較的困難であるため
に、その形状を誘電体層にエッチングし、その後でエッ
チングされた構造に銅を堆積させて充填することが好ま
しいことによる。全ての過剰な銅は、例えば化学機械的
研磨によって、表面から除去し、エッチングされた構造
中に埋め込まれた銅を残すようにする。
【0003】デュアルダマシン処理では、2つの別々の
構造であるが結合した構造を、それぞれの誘電体層に、
1つのラインが他方と重なるようにしてエッチングす
る。従って、トレンチを上側の層に刻み、バイアを下側
の層に作って、トレンチを下にある層の接触点に接触さ
せることができる。そのような構造の例は、DualD
amascene Challenges, Diel
ectric Etch、Peter Singer、
Semiconductor Internation
al(1999年8月)で説明されている。
【0004】デュアルダマシン構造を作る通常の手法で
は、誘電体の2つの層の間にエッチング停止層を堆積さ
せ、それによって自動エッチング装置が第1の層を貫通
したときに、このエッチング停止層が良好な「終点」信
号を自動エッチング装置に与えるようにする。そのよう
な閉ループ制御は好ましい。これは、このような閉ルー
プ制御が、開ループ時間エッチングと比較したときに、
比較的正確なエッチング構造の制御を可能にするためで
ある。
【0005】従ってエッチング停止層は、上側層と比較
して、エッチングプロセスへの選択比が大きく、それに
よって有意に比較的遅くエッチングされて、制御を行う
のに必要とされる時間を与えることが必要とされる傾向
にある。
【0006】
【従来の技術及び発明が解決しようとする課題】一般に
今日では、誘電体構造全体が低誘電率であることが望ま
しく、従って低誘電率でもあるエッチング停止層を得る
ことが望ましい。
【0007】更に、シランに基づくプラズマ形成窒化ケ
イ素を、二酸化ケイ素タイプの層と関連してエッチング
停止層として使用するが、そのような窒化ケイ素の誘電
率は通常約7.5であり、これに対して標準の二酸化ケ
イ素の誘電率は4.1であり、認識されている低誘電率
の要求は3.5未満であることである。炭化ケイ素が、
代わりのエッチング停止層として提案されているが、そ
の誘電率は9〜10であり、これは誘電体積層体の誘電
率を有意に更に増加させる。窒化ケイ素層は水に対する
良好なバリアーを作り、多くの低誘電率の処理が、処理
の間に誘電体層を押し出すことができる水に依存してい
るので、窒化ケイ素も、問題があることが見出されてい
る。
【0008】更に、現在の窒化ケイ素技術は、低誘電率
の層の製造に使用する化学物質と必ずしも相容れるわけ
ではない。
【0009】これらの問題についての議論は、PCT国
際公開第99/41423号明細書で示されているが、
この特許明細書で示される結論は、この状況のための良
好なエッチング停止層は、有意の酸化物含有率を有する
ということである。多数の解決手段が提案されている
が、これらは、有意に異なる誘電率の層の積層体を必要
とすることが考えられる。
【0010】
【課題を解決するための手段】本発明の1つの面では本
発明は、誘電体積層体に作られたデュアルダマシン構造
を有する半導体デバイスである。ここでこの積層体は、
第1の形状でエッチングされた上側層、中間エッチング
停止層、及び第2の形状でエッチングされた下側層を含
み、この第2の形状は第1の形状に隣接しており、それ
ぞれの層の誘電率誘電率が3.5以下、より好ましくは
3.0未満であり、エッチング停止層と上側層との選択
比が少なくとも2.5:1である。ここで、「エッチン
グ停止層と上側層との選択比が少なくとも2.5:1で
ある」とは、エッチング停止層と比較したときに、上側
層が少なくとも2.5倍のエッチング速度でエッチング
されることを意味している。
【0011】好ましくは、エッチング停止層は下側層と
一体になっており(integral with)、エ
ッチング停止層は窒素でドープされた炭化ケイ素ででき
ていることが特に好ましい。
【0012】特に好ましい様式では、エッチング停止層
の誘電率は、積層体の他の層の誘電率と実質的に同じで
ある。意外にも、窒素でドープされた炭化ケイ素の誘電
率は、窒素ドーピングを行う程度に依存して調節できる
ことが見出されている。従って、少なくともある程度ま
では、エッチング停止層の誘電率を、他の誘電体層の誘
電率に合わせることが可能である。
【0013】上述のように、窒素でドープされた炭化ケ
イ素の誘電率は有意に小さく、それ自身は低誘電率誘電
体材料であるので、エッチング停止層は下側層と一体に
することができる。
【0014】従って、本発明の第2の面は、窒素でドー
プされた炭化ケイ素でできた低誘電率層である。
【0015】本発明の更なる面は、基材上に低誘電率フ
ィルムを製造する方法である。この方法は、(a)容器
内で、支持体に基材を配置すること、及び(b)気体又
は蒸気の形でケイ素含有有機化合物及び窒素をプラズマ
の存在下において前記容器に供給して、窒素でドープさ
れた炭化ケイ素のフィルムを基材上に堆積させること、
を含む。
【0016】ケイ素含有有機化合物は、アルキルシラ
ン、特にテトラアルキルシランでよい。特に好ましく
は、ケイ素含有有機化合物はテトラメチルシランであ
る。
【0017】室温又はそれ未満の温度で、配置された基
材にフィルムを堆積させること、及びフィルムの堆積の
間に高周波出力を供給することができる。
【0018】ここまでで本発明を説明してきたが、上述
の特徴又は以下の説明の任意の発明的組み合わせが、本
発明に含まれることを理解すべきである。
【0019】
【発明の実施の形態】本発明は様々な様式で実施するこ
とができるが、添付の図を参照して、例示によって本発
明の特定の態様を以下に説明する。
【0020】図1を参照すると、減圧容器2を有する装
置1概略的に示されている。ここでこの減圧容器2は、
シャワーヘッド3及びウェハー支持体又はプラテン4を
有する。このシャワーヘッド3は、高周波源(図示せ
ず)に接続されて、1つの電極を形成し、支持体4はア
ースして、もう一方の電極を作ることができる。あるい
は、高周波源を支持体4に接続し、及びシャワーヘッド
3をアースすることができる。シャワーヘッド3は、パ
イプ(図示せず)によって、テトラメチルシラン及び他
の1又は複数のガスの供給源に接続されている。この装
置は概してヨーロッパ特許公開第0731982号明細
書で開示されるようなものである。ここでこの特許明細
書の記載は参照して本発明の記載に含める。しかしなが
ら、標準(2重式ではないもの)のシャワーヘッドを通
常は使用する。
【0021】使用においては、実際に装置を変更して、
供給する他のガスの性質に依存して、様々な層を堆積さ
せることができる。従って、他のガスが酸素又は酸素含
有ガスである場合、低誘電率の炭素でドープされた二酸
化ケイ素の層を作ることができる。他方で、他のガスが
窒素である場合、窒素の流量に依存して、純粋な炭化ケ
イ素層(実質的に窒素が存在しない)から炭素でドープ
された窒化ケイ素層(窒素流量が大きい)までを製造す
ることができる。窒素流量を適当に調節することによっ
て、上述の炭素でドープされた二酸化ケイ素の層と同様
な又は同じ誘電率を有する窒素でドープされた炭化ケイ
素の層を作れることを、本発明の出願人は見出した。従
って、単一の容器において、炭素でドープされた二酸化
ケイ素の層、窒素でドープされた炭化ケイ素の層、及び
炭素でドープされた二酸化ケイ素の層を含む誘電体積層
体を作ることが可能である。よって、この積層体は低誘
電率の点から特に望ましいだけでなく、大量生産できる
単純な様式で製造することができる。
【0022】従って、1つの実験では、誘電率が約2.
6のメチルでドープされた窒化/炭化ケイ素であると考
えられるものを作ることによって、特に効果的なエッチ
ング停止層を開発した。窒素に対する炭素の割合を減少
させて、炭素でドープされた窒化ケイ素を超えるものを
作ると、誘電率が約4.6まで増加することが分かっ
た。これら2つの材料の間では、硬度遷移点が存在しな
かった。プロセスガスに比較的多くの窒素を加えると、
炭素に対する窒素の割合が増加する。従って1つの極端
な(窒素がない)材料は炭化ケイ素であり、他の材料は
炭素含有窒化ケイ素であると考えられる。全てのフィル
ムが水素を含有していた。
【0023】この実験では、プロセス条件は以下のよう
なものであった: 圧力 TMS流量 O2流量 N2流量 高周波出力 温度 低誘電率のCでドープされたSiO2、誘電率k=2.6、SiO2(C) メチルでドープされた二酸化ケイ素 3000mT 80sccm以下 100sccm 500sccm 100W 0〜25℃ 低誘電率のNでドープされたSiC、誘電率k=2.6、SiC(N) メチルでドープされた窒化/炭化ケイ素 1500mT 80sccm以下 皆無 50sccm 200W 0〜25℃ 低誘電率のCでドープされたSiN、誘電率k=4.6、SiN(C) メチルでドープされた窒化ケイ素 500mT 20sccm以下 皆無 500sccm 1000W 0〜25℃
【0024】これにより、選択された特定の窒素流量で
は、低誘電率の窒素でドープされた炭化ケイ素の誘電率
は、上述のように作られた低誘電率の炭素でドープされ
た二酸化ケイ素の誘電率と正確に同じであることが理解
される。
【0025】高周波出力は380kHzの電源によって
シャワーヘッド電極に提供し、プラテンを、室温又はそ
れ未満の温度に維持した。0℃未満の温度がこのプロセ
スに有益であるが、このプロセスは、室温又は0℃〜室
温の温度で一般に行われる。
【0026】更なる実験を13.56MHzの高周波出
力で行った。SiO2(C)及びSiC(N)の結果は
かなり異なっていることが分かった。SiO2(C)の
場合、堆積速度が増加して、厚さの均一性が改良された
が、SiC(N)材料では、堆積速度が低下し、且つ均
一性が悪化した。従って、本発明の低誘電率のエッチン
グ停止層は、大きい周波数(4MHz超)で堆積させた
SiO2(C)、及び小さい周波数(4MHz未満)で
堆積させたSiC(N)から作ることができると考えら
れる。
【0027】シャワーヘッド及び電極とウェハーとの間
隔は、フィルムの均一性に影響を与え、これは実験によ
って均一性を最大にするようにすべきである。テトラメ
チルシラン(TMS)の流量はおおよそである。これ
は、本出願人の英国特許出願第9922691.2号明
細書で説明される理由によって、その計測が困難である
ことによる。
【0028】初めの実験は、レジストコーティングを伴
わないシリコンウェハーで行った。ここでは同じエッチ
ングプロセスを使用した。エッチング速度は以下のよう
なものである。 フィルムタイプ 先駆物質 エッチング速度 SiO2(C) TMS/O2 9,377Å/分 SiC(N) TMS/N2 3,222Å/分 SiN(C) TMS/N2 4,787Å/分
【0029】これらのエッチング速度から、エッチング
の選択率が2.9:1(SiO2:SiC)であること
が計算できる。これは、誘電率kがかなり大きい標準の
エッチング停止層に有利に匹敵するものである。
【0030】従って、予想と異なって、窒素でドープさ
れたSiC(N)材料は、炭素でドープされた窒化ケイ
素よりも、低誘電率のエッチング停止層として好まし
い。
【0031】本質的に上述のような堆積プロセスを使用
して、積層構造体を作った。但しこのプロセスは、本出
願の出願人の英国特許出願第9922801.7号明細
書で開示されるタイプの水素プラズマ処理を含む。ここ
でこの明細書の記載は参照して本発明の記載に含める。
この水素プラズマ処理は、低誘電率フィルムの性質を改
良し、例えば、BOE(緩衝酸化物エッチング(Buffere
d Oxide Etch))湿式エッチング速度を10,000Å/
分超から熱酸化物のそれ(約550Å/分)と同じ程度
まで減少させ、水素及び炭素の含有率を減少させ、明ら
かに密度を増加させ、且つクラッキングの傾向を減少さ
せつつ、フィルムが水を吸収する性質を減少させる。
【0032】得られる積層体は、SiC(N)の500
Åの層によって分離されたSiO2(C)の7,000
Åの2つの層からなる。それぞれの二酸化ケイ素層は、
水素プラズマ処理をされている。
【0033】エッチング実験を異なる時間で行って、終
点検知装置の出力を記録した。そのような実験で通常行
われているように、終点検地装置は、440nmの輝線
での光の強度を観察した。終点検出器からの出力は、図
2及び3に示している(図2〜4の垂直軸は、任意単位
での信号強度の増加を示している)。
【0034】SiO2(C)層上のSiC(N)に関し
て更なる実験を行った。この実験の終点信号出力は図4
に示す。
【0035】続いて、パターンを付けたウェハーについ
て更なる実験を行った。2つの異なるパターンを使用し
た。これらのパターンはそれぞれ、小さい開口領域(接
触/バイアで典型的であるようなもの)及び大きい開口
領域(相互接続に相当するもの)によって特徴付けられ
る。上述のSiO2(C)、SiC(N)、及びSiN
(C)材料を使用した。
【0036】結果は、以下のように概略を示すことがで
きる。 フィルムタイプ エッチング速度 不均一性 TMS/O2のSiO2に対する (Å/分) (+/−%) 選択比 相互接続マスク TMS/O2のSiO2(C) 10,611 8.3 TMS/N2のSiCタイプ 3,524 5.3 3.01 TMS/N2のSiNタイプ 4,224 6.6 接触/バイアマスク TMS/O2のSiO2(C) 11,328 4.4 TMS/N2のSiCタイプ 3,875 5.9 2.92 TMS/N2のSiNタイプ 3.932 9.0
【0037】従って、SiNは誘電率がかなり大きいこ
とと並んで、SiNのエッチング特性が、誘電率が小さ
いSiC材料のエッチング特性よりも劣っていることが
理解される。パターンが存在すること又はパターンが存
在しないことは、SiC(N)に対する低誘電率SiO
2(C)の選択率に有意の影響を与えない。フォトレジ
ストが存在しない場合には、比は2.9:1であり、こ
れら2つの実験での値は3.01:1及び2.92:1
であり、従って約3:1である。非常に許容できる均一
性の値と組み合わせたこのエッチング選択比は、SiC
(N)が有益なエッチング停止材料であることを示し、
またこの値は、有益に明確な終点信号が440nmの輝
線でもたらされることを示している。
【0038】上述のように、SiC(N)材料は、それ
自身で低誘電率材料としての望ましい性質を持ち、また
別個の「エッチング停止」層を持たない2つの層の積層
体の可能性をもたらす。実際に、同様な誘電率を持つ
が、エッチング特性が有意に異なる材料の層で積層体を
作り、層の遷移を検知できるようにして、自動処理を達
成することができる。
【0039】エッチング停止層を使用しないで、2つの
低誘電率材料を使用することで利益を得られる用途の特
定の例は、デュアルダマシンの用途のための低誘電率の
2つの層の製造である。ここでは、1つの層をバイア製
造のために使用し、他の層は上側のトレンチを提供す
る。例えば、「トレンチの第1の」手順では、バイアを
形成される比較的遅くエッチングされる層の上に、比較
的速くエッチングされるSiO2(C)を使用すること
ができる。トレンチパターンは、リソグラフィー及びト
レンチパターンエッチングによって、その表面に形成す
ることができる。下側の材料に達して所定の時間のエッ
チングが行われると、終点信号がもたらされる。その
後、エッチングマスク(例えばフォトレジスト)を除去
し、下側のバイアのためのパターンをウェハーに提供す
る。バイアは、下側の低誘電率層にエッチングする。
【0040】他の方法を図5で説明する。理解されるよ
うに、図5は(a)〜(e)で、配線のための溝とバイ
アの組み合わせを作る方法を説明している。この方法
は、上述の材料で得られるエッチング速度の差、及びこ
れらの材料の良好な小さい誘電率の特徴を利用してい
る。説明されている方法は、配線のための溝の底部でバ
イアを製造するために、リソグラフ及びマスクを必要と
しない点で特に有利である。配線はだんだんと狭くなっ
てきているので、溝の底部にマスクを提供することはよ
り困難になってきている。
【0041】従って図5(a)では、低誘電率絶縁材料
の第1の層10を、基材11上に堆積させ、バイアは1
2で示すようにして、この材料の表面に部分的なエッチ
ングによって提供する。このときに、バイア形状12の
エッチングは、比較的まっすぐに進行する。これは、層
10の表面全体が露出されているためのである。図5の
(b)では、第2の適合層(conformal la
yer)13を堆積させ、それによってこの層が形状1
2を満たすようにする。但しここでは、14で示すよう
に、この形状12が層13の表面に反映されるようにす
る。その後、13の上側表面に、所望の配線パターンを
有するマスクを提供する。図5の(c)は、配線のため
の溝15の部分的なエッチングを示す。(c)及び
(d)で示すように、同時に必然的に、形状14の底部
もエッチングされており、それによって形状12内にエ
ッチングが進行する。(d)で示されるときには、層1
3でエッチングすべき距離の残りはxであり、層11で
エッチングすべき距離の残りはyである。この概略図で
は明確に示されているわけではないが、通常yはxより
も大きく、y/xの比は相対的なエッチング速度を示
し、これは層11及び13の材料に依存して選択すべき
である。図で示される様な様式では、実際にyはxの
1.8〜2.2倍、好ましくは約2倍であり、材料11
のエッチング速度が材料13のエッチング速度の1.8
〜2.2倍、好ましくは約2倍であるようにすべきこと
が多い。
【0042】材料11はまた、上述の様式でエッチング
停止信号を提供することができる。ここで、上述のエッ
チング速度より、炭素でドープされた窒化ケイ素と炭素
でドープされた二酸化ケイ素が、約2:1のエッチング
速度又は選択比を提供することが理解され、また上述の
ように、窒素でドープされた炭化ケイ素に対する二酸化
ケイ素の選択率は3:1である。ドーピングを調節する
ことによって、他の選択比を提供することが可能であ
る。
【図面の簡単な説明】
【図1】図1は、本発明で使用する装置の概略図であ
る。
【図2】図2は、誘電体積層体中に配置したときの、本
発明によって作ったエッチング停止層の検知可能性を示
す図である。
【図3】図3は、誘電体積層体中に配置したときの、本
発明によって作ったエッチング停止層の検知可能性を示
す図である。
【図4】図4は、誘電体積層体中に配置したときの、本
発明によって作ったエッチング停止層の検知可能性を示
す図である。
【図5】図5は、配線及び関連するバイアの製造を概略
的に説明する図である((a)〜(e))。
【符号の説明】
1…装置1 2…減圧容器2 3…シャワーヘッド 4…ウェハー支持体又はプラテン 10、13…層 11…基材
フロントページの続き (72)発明者 ナット ビークマン イギリス国,ビーエス49 4ジェイビー, ヤットン,ミードウランド 18

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 誘電体積層体に作られたデュアルダマシ
    ン構造を有する半導体デバイスであって、前記積層体
    が、第1の形状でエッチングされた上側層、中間エッチ
    ング停止層、及び第2の形状でエッチングされた下側層
    を含み、前記第2の形状が、前記エッチング停止層を通
    して前記第1の形状と連続になっており、前記層のそれ
    ぞれの誘電率kが3.5以下であり、且つ前記エッチン
    グ停止層と前記上側層との選択比が少なくとも2.5:
    1である、誘電体積層体に作られたデュアルダマシン構
    造を有する半導体デバイス。
  2. 【請求項2】 前記エッチング停止層が前記下側層と一
    体となっている、請求項1に記載のデバイス。
  3. 【請求項3】 前記エッチング停止層が、窒素でドープ
    された炭化ケイ素でできている、請求項1又は2に記載
    のデバイス。
  4. 【請求項4】 (a)容器内の支持体に基材を配置する
    こと、 (b)プラズマの存在下において、窒素及びケイ素含有
    有機化合物を気体又は蒸気で前記容器に供給して、前記
    基材に窒素でドープされた炭化ケイ素のフィルムを堆積
    させること、を含む、基材上に低誘電率のフィルムを作
    る方法。
  5. 【請求項5】 窒素でドープされた前記炭化ケイ素を、
    4MHz未満の周波数でもたらされたプラズマによって
    堆積させる、請求項4に記載の方法。
  6. 【請求項6】 前記ケイ素含有有機化合物がアルキルシ
    ランである、請求項4又は5に記載の方法。
  7. 【請求項7】 前記ケイ素含有有機化合物がテトラアル
    キルシランである、請求項4〜6のいずれか1項に記載
    の方法。
  8. 【請求項8】 前記ケイ素含有有機化合物がテトラメチ
    ルシランである、請求項4〜6のいずれか1項に記載の
    方法。
  9. 【請求項9】 窒素でドープされた炭化ケイ素を含む、
    エッチング停止層。
  10. 【請求項10】 それぞれの層が異なる材料でできてお
    り、これらの材料のエッチング特性が検知可能に異なっ
    ているが、誘電率がほぼ等しい、誘電体層の積層体。
  11. 【請求項11】 隣接する層の間の選択比が少なくとも
    2.5:1である、請求項10に記載の積層体。
  12. 【請求項12】 半導体ウェハーに第1のエッチング速
    度の第1の絶縁材料の層を堆積させ、この第1の層を部
    分的にエッチングして、1又は複数のバイアをもたら
    し、その後でこの第1の層に第2の絶縁材料の層を堆積
    させ、それによって部分的なエッチングによってもたら
    したバイアを、前記第2の材料で満たして、対応する形
    状を前記第2の層の表面にもたらし、前記第2の層をエ
    ッチングして溝をもたらし、それによって前記溝が対応
    する形状になって配線を受け取るようにし、これらの材
    料の相対的なエッチング速度が、前記溝を前記第1の層
    までエッチングしたときに、前記バイアが、前記第1の
    層を通して完全にエッチングされるようにするものであ
    る、ことを含む、デュアルダマシン構造の製造方法。
  13. 【請求項13】 隣接する層の前記材料の誘電率の差が
    10%未満である、請求項10又は11に記載の積層
    体。
  14. 【請求項14】 前記第1の層のエッチング速度が、前
    記第2の層のエッチング速度の1.8〜2.2倍であ
    る、請求項12に記載の方法。
  15. 【請求項15】 前記第1の層が炭素でドープされたS
    iO2であり、前記第2の層が窒素でドープされたSi
    C又は炭素でドープされた窒化ケイ素である、請求項1
    2に記載の方法。
  16. 【請求項16】 4MHz超の周波数でのプラズマに基
    づく反応によって炭素でドープされたSiO2を堆積さ
    せること、及び4MHz未満の周波数でのプラズマに基
    づく反応によって、前記SiO2材料に窒素でドープさ
    れたSiCを堆積させること、を含む低誘電率のエッチ
    ング停止層の製造方法。
JP2001010386A 2000-01-19 2001-01-18 基材上にフィルムを作る方法及び装置 Pending JP2001244337A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0001179:1 2000-01-19
GBGB0001179.1A GB0001179D0 (en) 2000-01-19 2000-01-19 Methods & apparatus for forming a film on a substrate

Publications (1)

Publication Number Publication Date
JP2001244337A true JP2001244337A (ja) 2001-09-07

Family

ID=9883946

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001010386A Pending JP2001244337A (ja) 2000-01-19 2001-01-18 基材上にフィルムを作る方法及び装置

Country Status (6)

Country Link
US (2) US6627535B2 (ja)
JP (1) JP2001244337A (ja)
KR (1) KR20010076361A (ja)
CN (1) CN1185693C (ja)
DE (1) DE10101766A1 (ja)
GB (2) GB0001179D0 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2007534178A (ja) * 2004-04-21 2007-11-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 低減された層内静電容量を有する集積回路の配線構造

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
CN100431110C (zh) * 2000-08-18 2008-11-05 东京毅力科创株式会社 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
GB0117600D0 (en) 2001-07-19 2001-09-12 Trikon Holdings Ltd Semiconductor structure
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
AU2002347353A1 (en) * 2001-12-11 2003-06-23 Trikon Technologies Limited Diffusion barrier
JP3716218B2 (ja) * 2002-03-06 2005-11-16 富士通株式会社 配線構造及びその形成方法
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
WO2005045916A1 (ja) 2003-11-11 2005-05-19 Tokyo Electron Limited 基板処理方法
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7320945B2 (en) * 2004-06-30 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient low k material
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
JP2007165717A (ja) * 2005-12-15 2007-06-28 Tokyo Electron Ltd 成膜方法及び成膜装置
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103137598B (zh) * 2011-12-02 2016-01-06 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
CN112201570A (zh) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 一种减少光刻胶中毒的工艺方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59119733A (ja) 1982-12-24 1984-07-11 Toshiba Corp 半導体装置
US5244698A (en) 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134494A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2007534178A (ja) * 2004-04-21 2007-11-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 低減された層内静電容量を有する集積回路の配線構造

Also Published As

Publication number Publication date
DE10101766A1 (de) 2001-07-26
CN1185693C (zh) 2005-01-19
US20040056356A1 (en) 2004-03-25
GB0101160D0 (en) 2001-02-28
GB0001179D0 (en) 2000-03-08
US20010030369A1 (en) 2001-10-18
GB2361808A (en) 2001-10-31
KR20010076361A (ko) 2001-08-11
US6627535B2 (en) 2003-09-30
CN1309418A (zh) 2001-08-22
GB2361808B (en) 2004-08-11

Similar Documents

Publication Publication Date Title
JP2001244337A (ja) 基材上にフィルムを作る方法及び装置
KR100768363B1 (ko) 반도체 집적회로장치의 제조방법 및 반도체 집적회로장치
US6255233B1 (en) In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
JP3712356B2 (ja) 成膜方法および半導体装置の製造方法
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
JP2009152544A (ja) 多層配線構造に空隙を形成する方法
CN107564888B (zh) 互连结构及其制造方法
JP2003124189A (ja) 半導体装置の製造方法
CN103633014B (zh) 半导体器件制造方法
JP2004153266A (ja) 積層構造体の形成方法及び絶縁膜の集積方法
JP2000332011A (ja) 層間絶縁膜の形成方法及び半導体装置
KR20040050971A (ko) 반도체 소자를 제조하기 위한 갭 필 방법
US7732304B2 (en) Method of manufacturing semiconductor device
US20050077628A1 (en) Dual damascene structure and method
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
JP2003109940A (ja) シリコン含有絶縁膜を有する半導体装置の製造方法
JP4067357B2 (ja) エッチング方法
CN104078418B (zh) 半导体器件制造方法
JP2005005697A (ja) 半導体装置の製造方法
JPH1140669A (ja) 多層配線構造とその製造方法
US7186644B2 (en) Methods for preventing copper oxidation in a dual damascene process
TWI282602B (en) Dual damascene process
CN116190209B (zh) 低介电常数介质层及金属互连结构的制作方法
KR100552810B1 (ko) 반도체 소자의 금속 배선 형성 방법
TWI518766B (zh) 在半導體基底上形成開口的方法