KR20010076361A - 기질상에 필름을 형성하는 방법 및 장치 - Google Patents

기질상에 필름을 형성하는 방법 및 장치 Download PDF

Info

Publication number
KR20010076361A
KR20010076361A KR1020010003043A KR20010003043A KR20010076361A KR 20010076361 A KR20010076361 A KR 20010076361A KR 1020010003043 A KR1020010003043 A KR 1020010003043A KR 20010003043 A KR20010003043 A KR 20010003043A KR 20010076361 A KR20010076361 A KR 20010076361A
Authority
KR
South Korea
Prior art keywords
layer
etch
etched
stack
configuration
Prior art date
Application number
KR1020010003043A
Other languages
English (en)
Inventor
존 맥닐
로버트존 윌비
크넛 비크만
Original Assignee
추후제출
트리콘 호울딩즈 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 추후제출, 트리콘 호울딩즈 리미티드 filed Critical 추후제출
Publication of KR20010076361A publication Critical patent/KR20010076361A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 유전체 스택내에 형성된 이중 물결무늬구조를 포함하는 반도체 장치이며, 상기 스택이 내부에 에칭된 제 1 구성을 가지는 상부층, 중간 에칭 종결층 및 내부에 에칭된 제 2 구성을 가지는 하부층을 포함하고, 상기 제 2 구성은 에칭 종결층을 통하여 제 1 구성과 접촉하고, 각각의 층들은 유전체 상수 k = 3.5를 가지고 에칭종결층은 상부층에 대해 약 2.5:1의 선택도를 가지는 것을 특징으로 한다.

Description

기질상에 필름을 형성하는 방법 및 장치{Methods and Apparatus for Forming a Film on a Substrate}
본 발명은 기질상에 필름을 형성하는 방법 및 장치에 관한 것으로, 특히, 비배타적으로 낮은 k 에칭 종결 필름과 상기 필름을 포함하는 장치를 형성하는 것dl다. 상술한 목적을 위해 용어 낮은 k 는 3.5 또는 그 이하의 유전상수를 말하는 것이다.
물결무늬 및 이중 물결무늬처리는 반도체 웨이퍼의 제조에서 더욱 일반적으로 사용되며 특히 여기서 구리가 상호연결 금속으로 사용된다.
이것은 구리의 플라즈마 에칭이 비교적 어렵고 따라서 유전층 내의 에칭형성이 더 바람직하며 구리가 이를 채우는 에칭된 구조로 침전되기 때문이다.
초과 구리는 예를들어 에칭된 특징이 있는 구리의 상감을 남기는 화학적 기계 광택에 의해 표면으로부터 제거될 수 있다.
이중 물결무늬 처리에서 두 분리되나 연결된 특징은 각각의 유전층내에서 사로 타측위의 한 라인으로 에칭된다. 따라서 트렌치는 상부층내에서 커트될 수 있고 바이어스가 기초를 이루는 층내의 접촉점에 트렌치를 연결하도록 하부층 내에서 형성될 수 있다.
상기 구조의 예는 반도체 인터내셔널의 1999년 8월판에서 피터 싱거에 의해 이중 물결무늬 챌런지, 유전체 에칭으로 명명된 문헌에 서술된 바 있다.
이중 물결무늬 특징을 이루기 위한 통상의 접근은 제 1층을 통하여 차단되게 자동화된 에칭 종결층이 에칭장치에 좋은 종결점을 주도록 두 유전체 층 사이에 에칭 종결층을 침전하는 것이다.
상기 닫힌 루프 제어는 열린 루프 시간 에칭보다 에칭된 특징을 더 정밀하게 제어할 수 있기 때문에 바람직하다.
상기 에칭 종결층은 따라서 제어를 위해 더 늦게 주어진 시간으로 에칭되도록 상부층에 대한 에칭처리를 위해 비교적 높은 선택도를 가질 필요가 있다.
통상, 오늘날에는 전체 유전체 구조가 낮은 k 값을 가지고 이것이 역시 낮은 k 값을 가지는 에칭 종결층을 가지도록 유도하는 것이 바람직하다.
또한, 시레인 기반의 플라즈마 형성 실리콘 질화물은 실리콘 이산화물형태의 층과 관련하여 에칭 종결층으로 사용되어지나, 상기 실리콘 질화물은 통상 4.1의 표준 실리콘 이산화물과 3.5 이하의 수용된 낮은 k 요건과 비교하여 약 7.5의 k 값을 가질 수 있다.
실리콘 카바이드는 선택적인 에칭종결물질로 제안된 바 있으나 이의 k 값은 9 내 10이며 이것은 아작 유전체 스택의 k 값이 명확하게 증가하는 결과를 가져온다. 실리콘 질화물층은 역시 처리되는 동안 좋은 물 장벽을 이루고 많은 낮은 k 처리가 유전체 층 밖으로 배출될 수 있는 물에 의지하는 문제점이 발견되었다.
또한 현재의 실리콘 질화물 기술은 낮은 k 층을 이루는데 사용되는 화학기술과 필수적으로 양립되지 않는다.
상기 문제점에 대한 토론은 WO-A-99/41423에 포함되어 있으나 상기 특허출원의 결론은 상기 상활을 위한 좋은 에칭 종결층은 명확한 산화물을 함유하여야 한다는 것이다.
다수의 제안된 해결책이 나왔지만 이들은 명확히 다른 k 값을 가지는 층의 스택을 요구하는 것으로 보인다.
한 특징으로부터 본 발명은 유전체 스택내에 형성된 이중 물결무늬 구조를포함하는 반도체 장치로 구성된다. 상기 스택은 내부에 에칭된 제 1 구성을 가지는 상부층, 중간 에칭종결층 및 내부에 제 2 구성 에칭을 가지는 하부층을 포함하고, 제 2 구성은 제 1 구성과 접촉한다. 각각의 층은 = 3.5 및 더욱 바람직하게는 3.0이하의 유전체 상수 K 를 가지고 에칭종결층은 상부층에 대해 약 2.5:1의 선택도를 가진다.
상기 에칭종결층은 하부층과 일체로 형성되는 것이 바람직하며 특히 질소 첨가 실리콘 카바이드로 형성되는 것이 바람직하다. 특히 바람직한 배치에서 상기 에칭종결층의 k 값은 스택내의 다른 층과 실질적으로 동일하다.
놀랍게도, 질소 첨가 실리콘 카바이드의 k 값은 발생하는 질소 첨가의 양에 따라 조절될 수 있다는 것이 발견되었다. 따라서 다른 유전체 층의 k 값과 함께 에칭종결층의 k 값이 결합될 수 있는 범위에 있다.
상술한 바와 같이, 에칭 종결층의 k 값은 하부층과 통합될 수 있는 데 이것든 상기 질소 첨가 실리콘 카바이드의 k 값이 그 자신의 우측내에서 낮은 k 유전체 물질이 되도록 충분히 낮기 때문이다.
따라서 본 발명의 제 2 특징으로부터 질소 첨가 실리콘 카바이드로 형성된 낮은 k 유전체 층이 구성된다.
본 발명의 다른 특징으로부터 기질상에 낮은 k 필름으 fgudtjdgksm 방법은 다음을 포함한다.:
(a) 챔버내의 지지대상에 기질을 위치시키고;
(b) 기질상의 질소첨가 실리콘 카바이드 필름을 침전시키도록 플라즈마의 존재내에 실리콘 함유 유기체 혼합물 및 질소를 가스 또는 증기 형태로 챔버에 공급한다.
상기 실리콘 함유 유기체 혼합물은 알킬 시레인일 수 있고 특히, 테트라 알킬 시레인일 수 있다. 상기 실리콘 함유 유기체 혼합물은 테트라 메틸 시레인인 것이 특히 바람직하다.
상기 필름은 위치된 기질상에 또는 방 온도로 또는 그 이하에서 침전도리 수 있고 RF 파워는 필름이 침전되는 동안 공급될 수 있다.
비록 본 발명이 상술한 바와 같이 정의되나 이는 상술한 특징의 조함 또는 하기하는 서술을 포함하는 것으로 이해되어야 한다.
본 발명은 다양한 방법으로 수행될 수 있으며, 특정 실시에가 도면을 참조로 예시적으로 서술된다.
도 1은 본 발명에서 상요되는 장치의 개략도이다.
도 2 내지 4는 유전체 스택내에 위치할 때 본 발명에 따라 형서된 에칭 종결층의 탐지성을 도시하는 그래프이다.
도 5(a) 내지 5(e)는 와이어링 채널 및 이와 관련된 것의 구성을 개략적으로 도시한다.
* 부호설명
1: 장치 2: 챔버
3: 샤워헤드 4: 플래튼
10: 물질 12: 구성
11.13: 층
도 1에 따르면, 일반적으로 참조번호 1로 표시되며 샤워헤드(3)와 웨이퍼 지지대 또는 플래튼(4)을 가지는 진공챔버(2)를 포함하는 장치를 도시한다.
상기 샤워헤드(3)는 한 전극을 형성하는 RF 자원(도시되지 않음)에 연결되고 상기 지지대(4)는 다른 전극을 형성하도록 접지될 수 있다.
선택적으로, 상기 RF 자원은 상기 지지대(4)에 연결되고 샤워헤드(3)가 접지될 수도 있다. 상기 샤워헤드(3)는 테트라 메틸 시레인 및 다른 가스 또는 가스득의 각각의 자원에 파이프(도시되지 않음)에 의해 연결된다.
상기 장치는 일반적으로 참조로써 여기에서 보여지는 EP-A-0731982에 공개된형태이다. 그러나 표준(비 이중)샤워헤드는 통상 사용된다.
사용시 상기 장치는 실제로 공급된 다른 가스의 본질에 따른 다양한 층을 침전시키도록 배치될 수 있다.
따라서, 만약 다른 가스가 산소 또는 산소 함유 가스라면 낮은 k 탄소 첨가 실리콘 이산화층이 형성될 수 있다. 만약 한편으로 다른 가스가 질소라면 (실제적으로 질소를 함유하지 않는)순수한 실리콘 카바이드 층으로부터 질소의 흐름율에 따라 (높은 질소흐름을 가지는) 탄소 첨가 실리콘 질화층까지의 물질이 형성될 수 있다. 상기 응용은 질소 흐름율의 적절한 조절로 이루어지며 질소 첨가 실리콘 카바이드 필름은 상술한 탄소 첨가 실리콘 이산화층과 유사하거나 동일한 k 값을 가지고 형성될 수 있다.
따라서, 단일 챔버내에 탄소 첨가 실리콘 이산화 층으로 구성되는 유전체 스택, 질소 첨자 실리콘 카바이드층 및 탄소 첨가 실리콘 이산화물층을 형성할 수 있다.
그러므로, 상기 스택은 특히 낮은 k 관점에 서 특히 바람직할 뿐만 아니라 높은 작업 처리량을 허용하는 방법으로 쉽게 형성될 수 있다.
따라서 한 실험에서, 특히 효과적인 에칭 종결층이 약 2.6의 k 값을 가지는 메틸 첨가 시리콘 카바이드/질소로 고려될 수 있는 것을 형성함으로로써 전개된다.
질소대 탄소 비율이 탄소 첨가 실리콘 질화물을 더 형성하도록 감소되면 k 값은 약 4.6으로 증가한다. 상기 두 물질사이에는 어려운 변화점이 없다.
처리가스로 질소가 더 첨가되면 질소대 탄소비율이 더 늘어나는데 한 극단(질소없는)에서 물질은 실리콘 카바이드일수 있고 다른 카본 암유 실리콘 질화물일 수 있다. 모든 필름들은 수소를 포함한다.
상기 실험에서 처리조건은 다음과 같다.:
압력 TMS흐름 O2흐름 N2흐름 RF파워 온도
낮은-k C 첨가 SiO2k=2.6 SiO2(C)메틸 첨가 실리콘 이산화물 3000mT ~80sccm 100sccm 500sccm 100w 0~25°C
낮은-k N 첨가 SiC k=2.6 SiC(N)메틸 첨가 실리콘 카바이드/질화물 1500mT ~80sccm 0 50sccm 200w 0~25°C
낮은-k c 첨가 SiOk=2.6 SiN(C)메틸 첨가 실리콘 질화물 500mT ~20sccm 0 500sccm 1000w 0~25°C
따라서 특히 질소 흐름에서 선택된 낮은 k 질소 첨가 실리콘 카바이드는 상술한 바와 같이 형성된 낮은 k 탄소 첨가 실리콘 이산화물과 정밀하게 동일한 k 값을 가진다. RF 파워는 380 khz 발생기에 의해 샤워헤드 전극에 적용되고 플래튼은 방 온도 또는 그 이하로 유지된다.
0°C 이하의 온도는 상기 공정에 유리할 수 있으나 일반적으로 상기 처리공정은 방 온도 또는 0°C 와 방 온도 사이에서 수행된다.
다른 실험이 13.86 RF 파워에서 수행된다. 여기서는 SiO2(C)와 SiC(N)이 확실히 다른 결과가 나타난다. SiO2(C)의 경우 침전율은 증가하고 두께의 단일성이 개선되는 한편, SiC(N)물질을 위해서는 비율이 감소되고 단일성이 나빠진다.
따라서 본 발명의 낮은 k 에칭 종결층은 고주파수(4Mhz 이상)에서 침전된SiO2(C) 및 저주파수(4Mhz 이하)에서 침전된 SiC(N)에서 형성될 수 있다고 가정된다.
샤워헤드와 전극으로부터 웨어퍼의 이격은 필름 단일성에 영향을 주며 실험적으로 단일성을 최대화 하도록 비롯되어야 한다. 상기 테트라 메틸 시레인을 위한 흐름율(TMS)은 이들이 우리가 함께 출원한 영국특허 출원 제 9922691.2호에서 주어진 이유로 결정하기 어렵기 때문에 근사치가 된다.
초기 실험은 저항 코팅없이 실리콘 웨이퍼상에서 수행된다. 여기서 동일한 에칭공정을 사용하며 에칭율은 다음과 같다.:
필름형태 프리커서 에칭율
SiO2(C) TMS/O2 9377 ANGSTROM /min
SiC(N) TMS/N2 3222 ANGSTROM /min
SiN(C) TMS/N2 4787 ANGSTROM /min
상기 에칭율로부터 더 높은 k 값을 가지는 표준 에칭 종결층에 바람직학 포함되는 2.9:1(SiO2:SiC)의 에칭 선택도를 계산할 수 있다.
따라서, 기대와는 반대로 질소 첨가 SiC(N)물질이 잔은 에칭 종결물질로 탄소 첨가 실리콘 질화물에 바람직하다.
스택구조가 참조로 여기에서 달성된 우리가 함께 출원한 영국특허 출원 제 9922691.2호에서 공개된 형태의 수소 플라즈마 처리를 포함하는 상술한 바오 같은 침전 공정을 필수적으로 사용하여 이루어진다.
상기 수소 플라즈마 처리는 낮은 k 필름 특성 즉, 수소 및 탄소 함유를 감소하고 명백해 밀도를 증가시키며 필름의 물흡수 특성을 감소하는 한편 크랙킹 가능성을 감소하는 열 산화물과 동일한 순서로 10000 ANGSTROM /min 이상으로부터 감소하는 BOE 젖은 에칭율을 개선한다.
결과로써 생기는 스택은 SIC(N)의 500 ANGSTROM 층에 의해 분리된 SiO2(C)의 두 7000 ANGSTROM 층으로 구성된다. 각각의 실리콘 이산화물층은 수호 플라즈마 처리된다.
에칭 실험은 다른 시간동안 수행되고 종결점 탐지기의 출력이 기록된다. 통상 상기 실험을 위해 사용됨에 따라 종결점 탐지기는 440nm 라인에서 광밀도를 관찰한다. 종결점 탐지기로부터의 출력은 도 2 및 3에서 보여진다.(도 3 내지 4의 수직축은 임의의 장치에서 증가하는 신호 밀도를 나타낸다.)
다른 실험은 SiO2(C)층위의 SIC(N)층을 가지고 수행되며 상기 실험을 위한 종결점 신호 출력은 도 4에서 보여진다. 후속하는 다른 실험은 패펀화된 웨이퍼상에서 수행된다. 두 다른 패턴들이 사용되는데 이는 각각 작은 열린 영역(접촉/통과로 통상적인) 및 큰 열린 영역(상호연결과 비교하여)을 특징으로 한다. 상술한 SiO2(C), SiC(N) 및 SiN(C)물질이 사용된다. 결과는 다음과 같이 요약된다.
필름형태 에칭율 ANGSTROM /min 비단일성 +/-% TMS/02 SiO2선택도
상호연결마스크 TMS/02 SiO2(C)형 10611 8.3 3.01
TMS/02 SiC형 3524 5.3
TMS/02 SiN형 4224 6.6
접촉/통과 마스크 TMS/02 SiO2(C)형 11328 4.4 2.92
TMS/02 SiOC형 3875 5.9
TMS/02 SiON형 3932 9.0
따라서, 더 높은 k 값을 가지는 것과 마찬가지로 SiN의 에칭특성은 낮은 k SiC 물질의 에칭특성보다 열등하다. 패턴화 또는 패턴화의 부재는 상기 SiC(N)에 대한 낮은 k SiO2(C)의 선택도의 명백한 차이를 이루지 못한다.
상기 경우 포토 레지스트가 존재하지 않고 비율은 2.9:1인 한편 상기 두 실험에서는 값 3.01:1 및 2.92:1이 약 3:1의 값으로 주어져 얻어진다.
상당히 허용가능한 단일성 특성과 결합한 상기 에칭 선택도는 SiC(N)이 유용한 에칭 종결물질이라는 것을 나타내고 유용한 투명도의 종결점 신호가 440nm 라인에서 발생된다는 것을 나타낸다.
상술한 바와 같이, 상기 SiC(N)물질은 자신의 우측내에 낮은 k의 유전체로서 바람직한 특성을 가진다. 이것은 비분리 분별 "에칭 종결"층을 가지는 두 층 스택을 가능하게 한다.
실제로 스택은 층들사이의 변이가 탐지되고 자동 처리가 달성되는 충분히 다른 에칭특성을 가지나 동일한 k 값을 가지는 물질의 층으로 이루어질 수 잇다.
에칭종결층을 사용하지 않는 두 낮은 k 물질의 사용으로부터 잇점을 가질 수 있는 응용의 특별한 예는 이중 물결무늬 응용을 위한 낮은 k 이중층의 구성이다.
여기서 한층은 바이어스의 구성을 위해 사용되는 한편 다른 한 층은 겹치는트렌치를 한정한다. 예를들어, "트렌처 제 1" 안은 바이어스가 형성되는 느린 에칭층을 겹치는 더 빠른 에칭 SiO2(C)를 사용할 수 있다.
상기 트렌치 패턴은 리도그래피에 의해 그 표면에서 형성될 수 있고 상기 트랜치 패턴이 에칭된다. 종결점 신호는 기초적인 물질이 도달되고 시간조절된 오버 에칭이 실행될 때, 발생될 수 있다.
그후, 에칭 마스트(예를들어, 포토 레지스트)가 제거되고 웨이퍼가 기초 바이어스를 위해 패턴화된다. 상기 바이어스는 기초 낮은 k 층에서 에칭된다.
선택적인 절차가 도 5에서 도시된다. 도 5에서와 같이 상술한 물질을 위해 얻어질 수 있는 에칭율 내의 차이를 이용하는 한편, 좋은 낮은 k 특성을 이용하는 조합을 통하여 그리고 와이어링 채널을 형성하는 방법이 도 5의 a에서 e에 걸쳐 도시된다.
상술한 방법은 특히 와어어링 채널의 바닥에서 바이어스를 위한 마스크 구성과 리도그래피를 위한 필요성을 제거하는 점에서 바람직하다.
상기 와이어링이 더 좁아짐에 따라 채널바닥의 마스킹은 더욱더 자극적이 된다. 다라서 도 5(a)에서 물질(10)을 절연하는 낮은 k 의 제 1 층은 기질(11)상에 침전되고 바이어스는 도 12로 표시된 바와 같은 물질의 표면에서 부분 에칭된다.
이때 상기 바이어스 구성(11)의 에칭은 비교적 간단한데 이는 층(10)의 전체표면이 노줄되기 때문이다. 도 5(b)에서 제 2 등각 층(13)은 구성(12)를 채우고 상기 구성이 층(13)의 표면에서 반사되도록 침전된다.
13의 상부표면은 의도하는 와이어링 패턴으로 마스킹되고 도 5(c)는 와이어링 채널(15)의 부분에칭을 도시한다. 동시에 그리고 불가피하게 상기 구성(14)의 바닥이 역시 에칭되고 c 및 d에서 보여진바와 같이 구성(12)으로 진행된다.
d에서 보여진 지점에서 층(13)내에서 에칭하도록 남은 거리 x 가 존재한다. 한편 층(11)내에서 에칭하도록 남은 거리(y)도 존재한다.
비록 개략적 도면에서 명확히 도시되지는 않았지만, y는 대개 x보다는 크고 비율 y/x는 층(13,11)의 물질을 위해 선택되는 비교 에칭율을 결정한다.
도면에서 도시된 배치의 종류에서, y는 대개 x의 두 배이고 물질(11)의 에칭율은 물질(13)보다 두 배이다.
물질(11)은 상기된 방법에서 에칭 종결신호를 역시 편리하게 발생할 수 있다.
따라서 상술한 에칭율에서 보듯이 탄소 첨가 실리콘 질화물 및 탄소첨가 실리콘 이산화물은 약 2:1의 에칭율 또는 선택도를 제공한다. 한편, 상술한 바와 같이, 실리콘 이산화물 대 질소 첨가 실리콘 카바이드의 선택도는 약 3:1이다.
첨가의 조절은 다른 선택도를 제공할 수 있다.

Claims (16)

  1. 유전체 스택내에 형성된 이중 물결무늬구조를 포함하는 반도체 장치에 있어서,
    상기 스택이 내부에 에칭된 제 1 구성을 가지는 상부층, 중간 에칭 종결층 및 내부에 에칭된 제 2 구성을 가지는 하부층을 포함하고,
    상기 제 2 구성은 에칭 종결층을 통하여 제 1 구성과 접촉하고,
    각각의 층들은 유전체 상수 k = 3.5를 가지고 에칭종결층은 상부층에 대해 약 2.5:1의 선택도를 가지는 것을 특징으로 하는 장치.
  2. 제 1항에 있어서, 상기 에칭종결층이 하부층과 일체로 형성되는 것을 특징으로 하는 장치.
  3. 제 1항 또는 제 2항에 있어서, 상기 에칭종결층이 질소첨가 실리콘 카바이드로 형성되는 것을 특징으로 하는 장치.
  4. 기질상에 낮은 k 필름을 형성하는 방법에 있어서,
    상기 방법이:
    (a) 챔버내의 지지대 상에 기질을 위치시키고,
    (b) 기질상의 질소첨가 실리콘 카바이드 필름을 침전시키도록 플라즈마의 존재내에 실리콘 함유 유기체 혼합물 및 질소를 가스 또는 증기 형태로 챔버에 공급하는 것을 특징으로 하는 방법.
  5. 제 4항에 있어서, 질소 첨가 실리콘 카바이드가 4Mhz이하의 주파수에서 구동되는 플라즈마에 의해 침전되는 것을 특징으로 하는 방법.
  6. 제 4항 또는 제 5항에 있어서, 상기 실리콘 함유 유기체 혼합물이 알킨 시레인인 것을 특징으로 하는 방법.
  7. 제 4항 내지 제 6항에 있어서, 상기 실리콘 함유 혼합물이 테트라 알킬 시레인인 것을 특징으로 하는 방법.
  8. 제 4항 내지 제 6항에 있어서, 상기 실리콘 함유 유기체 혼합물이 테트라 알킬 시레인인 것을 특징으로 하는 방법.
  9. 질소 첨가 실리콘 카바이드를 포함하는 에칭종결층.
  10. 에칭층이 다른 물질로 형성될 때 유전체층의 스택에 있어서, 물질이 다른 에칭특성이 감지가능하나 일반적으로 동일한 유전상수를 가지는 것을 특징으로 하는 유전체 층의 스택.
  11. 제 10항에 있어서, 인접하는 층사이의 선택도가 약 2.5:1인 것을 특징으로 하는 스택.
  12. 반도체 웨이퍼 상에서 제 1 에칭율을 가지는 제 1 절연물질의 층을 침전하는 것을 포함하는 이중 물결무늬 구조를 형성하는 방법에 있어서,
    제 1 층내에서 하나 또는 그 이상의 바이어스를 부분에칭하고,
    상기 부분에칭된 바이어스가 제 2층의 표면에서 나타나는 일치하는 구성을 발생하는 제 2 물질을 가지도록 제 1 구성으로 제 2 절연물질의 층을 침전하고,
    채널이 일치하는 구성을 포함하도록 와이어링 라인을 수용하는 채널을 제 2 층에서 에칭하고,
    상기 물질의 비교에칭율이 상기 채널이 제 1 층의 표면으로 에칭될 때 바이어스가 완전히 제 1 층을 통하여 에칭되도록 존재하는 것을 특징을 하는 방법.
  13. 제 10항 또는 제 11항에 있어서, 인접하는 층의 물질의 유전체 상수가 10%이하로 다양한 것을 특징으로하는 스택.
  14. 제 13항에 있어서, 제 1 층의 에칭율이 제 2 층의 약 2배인 것을 특징으로 하는 방법.
  15. 제 12항에 있어서, 상기 제 1 층이 카본 첨가 SiO2이고 제 2 층이 질소 첨가 SiC 또는 탄소 첨가 실리콘 질화물인 것을 특징으로 하는 방법.
  16. 4Mhz이상의 주파수에서 반응하는 플라즈마에 의해 탄소 첨가 SiO2를 침전하고, 4Mhz이하의 주파수에서 SiO2물질로 플라즈마기반 반응에 의해 질소 첨가 SiC를 침전하는 것을 포함하는 것을 특징으로 하는 낮은 k 에칭 종결층을 형성하는 방법.
KR1020010003043A 2000-01-19 2001-01-19 기질상에 필름을 형성하는 방법 및 장치 KR20010076361A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0001179.1 2000-01-19
GBGB0001179.1A GB0001179D0 (en) 2000-01-19 2000-01-19 Methods & apparatus for forming a film on a substrate

Publications (1)

Publication Number Publication Date
KR20010076361A true KR20010076361A (ko) 2001-08-11

Family

ID=9883946

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010003043A KR20010076361A (ko) 2000-01-19 2001-01-19 기질상에 필름을 형성하는 방법 및 장치

Country Status (6)

Country Link
US (2) US6627535B2 (ko)
JP (1) JP2001244337A (ko)
KR (1) KR20010076361A (ko)
CN (1) CN1185693C (ko)
DE (1) DE10101766A1 (ko)
GB (2) GB0001179D0 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
EP1316108B9 (en) 2000-08-18 2007-10-03 Tokyo Electron Limited Fabrication process of a semiconductor device comprising an intermediate low-dielectric silicon nitride film
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
GB0117600D0 (en) 2001-07-19 2001-09-12 Trikon Holdings Ltd Semiconductor structure
GB0129567D0 (en) * 2001-12-11 2002-01-30 Trikon Technologies Ltd Diffusion barrier
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
JP3716218B2 (ja) * 2002-03-06 2005-11-16 富士通株式会社 配線構造及びその形成方法
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
KR100900587B1 (ko) 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US20050239284A1 (en) * 2004-04-21 2005-10-27 International Business Machines Corporation Wiring structure for integrated circuit with reduced intralevel capacitance
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7320945B2 (en) * 2004-06-30 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient low k material
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
JP2007165717A (ja) * 2005-12-15 2007-06-28 Tokyo Electron Ltd 成膜方法及び成膜装置
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN103107158A (zh) * 2011-11-11 2013-05-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103137598B (zh) * 2011-12-02 2016-01-06 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
CN112201570A (zh) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 一种减少光刻胶中毒的工艺方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59119733A (ja) 1982-12-24 1984-07-11 Toshiba Corp 半導体装置
US5244698A (en) 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene

Also Published As

Publication number Publication date
GB2361808B (en) 2004-08-11
GB2361808A (en) 2001-10-31
US20040056356A1 (en) 2004-03-25
US6627535B2 (en) 2003-09-30
GB0101160D0 (en) 2001-02-28
GB0001179D0 (en) 2000-03-08
DE10101766A1 (de) 2001-07-26
CN1309418A (zh) 2001-08-22
US20010030369A1 (en) 2001-10-18
CN1185693C (zh) 2005-01-19
JP2001244337A (ja) 2001-09-07

Similar Documents

Publication Publication Date Title
KR20010076361A (ko) 기질상에 필름을 형성하는 방법 및 장치
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US7166535B2 (en) Plasma etching of silicon carbide
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6670278B2 (en) Method of plasma etching of silicon carbide
KR100801369B1 (ko) 유전체 막을 증착시키는 방법
JP5265100B2 (ja) 炭素系ハードマスクを開く方法
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
KR100936685B1 (ko) 질화규소막의 제조 방법, 반도체 장치의 제조 방법 및반도체 장치
CN102237272B (zh) 半导体装置和半导体装置制造方法
US20030068582A1 (en) Method of manufacturing semiconductor device having silicon carbide film
JP2001110789A (ja) 集積した低k誘電体層とエッチング停止層
US6987066B2 (en) Dry etching method and semiconductor device manufacturing method
KR100817350B1 (ko) 규소 탄화물 박막의 이중 플라즈마 처리
JP2005045053A (ja) 半導体装置の製造方法
US6897120B2 (en) Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US5451435A (en) Method for forming dielectric
US7067429B2 (en) Processing method of forming MRAM circuitry
KR100698998B1 (ko) 실리콘 함유 절연막을 갖는 반도체 장치의 제조 방법
US20060292883A1 (en) Etching of silicon nitride with improved nitride-to-oxide selectivity utilizing halogen bromide/chlorine plasma
KR20000076754A (ko) 절연막의 에칭 방법 및 배선층의 형성 방법
JP2003158072A (ja) フォトレジストのパターン間の寸法を小さくする方法
WO2024125303A1 (zh) 一种晶圆处理方法及用于晶圆处理的刻蚀-沉积一体设备
GB2398168A (en) Method of forming low k films on a substrate
KR20000026975A (ko) 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid