GB2398168A - Method of forming low k films on a substrate - Google Patents

Method of forming low k films on a substrate Download PDF

Info

Publication number
GB2398168A
GB2398168A GB0408705A GB0408705A GB2398168A GB 2398168 A GB2398168 A GB 2398168A GB 0408705 A GB0408705 A GB 0408705A GB 0408705 A GB0408705 A GB 0408705A GB 2398168 A GB2398168 A GB 2398168A
Authority
GB
United Kingdom
Prior art keywords
nitrogen
low
layer
doped silicon
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB0408705A
Other versions
GB2398168B (en
GB0408705D0 (en
Inventor
John Macneil
Robert John Wilby
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Europe Ltd
Original Assignee
Aviza Europe Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from GBGB0001179.1A external-priority patent/GB0001179D0/en
Application filed by Aviza Europe Ltd filed Critical Aviza Europe Ltd
Publication of GB0408705D0 publication Critical patent/GB0408705D0/en
Publication of GB2398168A publication Critical patent/GB2398168A/en
Application granted granted Critical
Publication of GB2398168B publication Critical patent/GB2398168B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of forming a low k etch stop layer comprising depositing carbon-doped silicon dioxide by a plasma based reaction at a frequency above 4 MHz and depositing a nitrogen-doped silicon carbide film onto the silicon dioxide material. The nitrogen-doped silicon carbide film may be formed from a gaseous or vapour silicon-containing organic compound, such as an alkylsilane, tetraalkylsilane or tetramethylsilane, and nitrogen in the presence of a plasma in a chamber at a frequency below 4 MHz.

Description

Methods and Apparatus for Forming a Film on a Substrate This invention
relates to methods and apparatus for forming films on a substrate and in particular, but not exc!usiveiy, to forming low k etch stop films and devices containing such films. For the purposes of this specification the term low k refers to dielectric constants of 3.5 or less.
Damascene and dual damascene processing is becoming more prevalent in the manufacture of semiconductor wafers and in particular where copper is used as the interconnect metal. This is because the plasma etching of copper is relatively difficult and it is therefore preferred to etch formations in the dielectric layer and then deposit copper into the etched structure to fill it.
Any excess copper can then be removed from the surface for example by chemical mechanical polishing leaving an inlay of copper in the etched features.
In dual damascene processing two separate but connected features are etched in respective dielectric layers one line above the other. Thus a trench may be cut in the upper layer and vies may be formed in the lower layer to connect the trench to contact points in an underlying layer. Examples of such structures are discussed in an article entitled Dual Damascene Challenges7 Dielectric Etch by Peter Singer in the August 1999 edition of Semiconductor 2 0 International.
A common approach to creating dual damascene features is to deposit an etch stop layer between the two layers of dielectric so that the etch stop layer gives a good "end point" signal to the automated etching equipment as it breaks through the first layer. Such closed loop control is preferred because it enables more precise control of the etched features than an open loop timed etch. r)
The etch stop layer therefore tends to need to have a relatively high selectivity for the etch process relative to the upper layer so that it is etched significant!\,/ more slowly giving time for control to take place.
Commonly, these days, it is desirable that the whole dielectric structure has a low k value and this leads one to the desire to have an etch stop layer which also has a low k value.
Additionally a silane-based plasma-formed silicon nitride has been used as an etch stop layer in association with a silicon dioxide type layer, however such silicon nitride would usually have a k value of about 7.5 compared to a standard silicon dioxide k value of 4.1 and the perceived low k requirement that k is less than 3.5. Silicon carbide has been proposed as an alternative etch stop material but its k value is 9 to 10 and this still results in significant increases in the k value of the dielectric stack. Silicon nitride layers nave also been found to be problematic in that they create a good water barrier and many low k processes rely on water being able to be forced out of the dielectric layer during processing.
Further, current silicon nitride technology is not necessarily compatible with the chemistry used to form the low k layers.
A discussion of these problems is contained in WO-A-99/41423, but the conclusion of that patent application is that a good etch stop layer for this situation should have a significant oxide content. A large number of proposed solutions are set out but they appear to require stacks of layers having significantly different k values.
The invention consists in a low k dielectric layer formed of nitrogen doped silicon carbide. <
From a further aspect the invention consists in a method of forming a low k film on a substrate comprising: (a) positioning the substrate on a support in a chamber; and (b) supplying to the chamber, in gaseous or vapour form, a silicon containing organic compound and nitrogen in the presence of a plasma to deposit a nitrogen doped silicon carbide film on the substrate.
The silicon containing organic compound may be an alkylsilane and more specifically it may be tetrealkylsilane. It is particularly preferred that the silicon containing organic compound is tetramethylsilane.
The film may be deposited on a substrate positioned at or below room temperature and RF power may be supplied during the deposition of the film.
Although the invention has been defined above it is to be understood it includes any inventive combination of the features set out above or in the
following description.
The invention may be performed in various ways and specific embodiments will now be described, by way of example, with reference to the following drawings in which; Figure 1 is a schematic view of an apparatus for use in the present invention; Figures 2 to 4 are graphs illustrating the detectability of etch stop layers formed in accordance with the invention when located in the dielectric stack; and Figures 5(a) to (e) schematically illustrate the formation of a wiring channel and associated via.
2 5 Referring to Figure 1, there is shown, generally indicated at 1, an apparatus which includes a vacuum chamber 2 having a showerhead 3 and a wafer support or platen 4. The showerhead 3 is connected to an RF source (not shown) to form one electrode, whilst the support 4 may be earthed to form another electrode. Alternatively, the RF source could be connected to the support and the showerhead 3 earthed. The showerhead 3 is connected by pipes (not shown) to respective sources of tetramethylsilane and another gas or gases. The apparatus is generally of the form disclosed in EP-A-0731982, which is incorporated herein by reference. However, a standard (non-duplex) showerhead is normally used.
In use, the apparatus can in fact be arranged to deposit a variety of layers depending on the nature o, the other gas supplied. Thus if the other gas is oxygen or an oxygen containing gas, then a low k carbon doped silicon dioxide layer can be formed. If on the other hand the other gas is nitrogen then depending on the flow rate of the nitrogen; anything from a pure silicon carbide layer (with virtually no nitrogen presentj to a carbon doped silicon nitride layer (with a high nitrogen flow) can be formed. The applicants have discovered that by suitable adjustment of the nitrogen flow rate, nitrogen-doped silicon carbide films can be formed which have a k value similar to or equal to the carbon doped silicon dioxide layer mentioned above. It is thus possible in a single chamber to form a dielectric stack consisting of carbon doped silicon dioxide layer, a nitrogen doped silicon carbide layer and a carbon doped silicon dioxide 2 0 layer. The stack is therefore not only particularly desirable from a low k point of view, it can also be simply formed in a manner which allows high throughput.
Thus, in one experiment a particularly effective etch stop layer was developed by forming what may be considered as a methyl doped silicon carbide/nitride with a k value of approximately 2.6. It was found if the carbon to nitrogen ratio was reduced to form more of a carbon doped silicon nitride then the k value increased to approximately 4.6. There was no hard transition point between the two materials. Greater additions of nitrogen to the process gas increase the nitrogen to carbon ratio such that at one extreme (no nitrogen) material can be considered silicon carbide and at the other carbon containing silicon nitride. All films contained hydrogen.
In this experiment the process conditions were as follows: Pressure TMS flow O2FIow Reflow RF Power Temp Iow-k C doped SiO2k=2.6 SiO2(C) A methyl doped silicon dioxide 3000mT 80sccm 100sccm 500sccm 100W 025 C low-k N doped SiC k=2.6 SIC(N) A methyl doped silicon carbide/nitride i 5GOmT 80sccm nil 50sccm 200W 02S C low-k C doped SiN k=4.6 SIN(C) A methyl doped silicon nitride 500mT 20sccm nil 500sccm 1000W 025 C It will thus be seen that at the particular nitrogen flow selected the low k nitrogen doped silicon carbide had a k value precisely equal to the low k carbon doped silicon dioxide formed as described above.
The RF power was applied by 380Khz generator to the showerhead electrode and the platen was maintained at room temperature or below.
Temperatures below 0 C may be useful to the process, but in general the process has been run at room temperature or between 0 C and room temperature.
Further experiments were carried out at 13.56 mHz RF power. It was found that the SiO2(C) and SIC(N) results differed markedly. In the case of SiO2(C) the deposition rate increased and the uniformity of thickness improved whereas for the SIC(N) material the rate decreased and the uniformity worsened. It is therefore further postulated that a low K etch stop layer of the invention could be formed from SiO2(C) deposited at high frequency (above 4 mHz) and SIC(N) at low frequency (below 4 mHz).
The spacing of the wafer from the showerhead and the electrode will affect film uniformity and should be experimentally derived to maximise that uniformity. The flow rates for the tetramethylsi!ane (TMS) are approximate because they are difficult to determine for reasons given in our co-pending British Patent Application No. 9922691.2.
Initial experiments were carried out on silicon wafers without resist coating where, using the same etch process, the etch rates were: Film type Precursors Etch rate SiO2(C) TMS/02 g,377 A/min SiC (N) TMS/N2 3, 2224/min SiN (C) TMS/N2 4,787 A/min From these etch rates one can calculate that there is an etch selectivity of 2.9:1 (SlO2:SiC) which compares favourably to standard etch stop layers with much higher k values.
Thus, contrary to expectations, the nitrogen-doped SIC(N) material is preferable as a low k etch stop material to carbon-doped silicon nitride.
Stacked structures were then constructed using the deposition process essentially as set out above but including a hydrogen plasma treatment of the type disclosed in our co-pending British Patent Application No. 9922801.7 which is incorporated herein by reference. This hydrogen plasma treatment improves the low k film properties, e.g. reducing BOE wet etch rate from over 10,000 A/min to the same order as that of a thermal oxide (about 550 A/min); 2 5 reducing hydrogen and carbon content, apparently increasing density and reducing the film's water absorption properties whilst reducing the likelihood of cracking.
The resultant stacks consisted of two 700QA layers of SiO2(C) separated by a 500A layer of SiC(N). Each of the silicon dioxide layers had been hydrogen plasma treated.
Etch experiments were run for different times and the output of an end point detector was recorded. As is commonly used for such experiments, the end point detector monitored the light intensity on the 440nm emission line.
The output from the end point detector is shown in Figures 2 and 3. (The vertical axis of Figures 3 to 4 indicate increasing signal intensity in arbitrary units).
A further experiment was carried out with SIC(N) layer over SiO2(C) layer and the end point signal output for this experiment is shown in Figure 4.
Subsequently further experiments were carried out on patterned wafers.
Two different patterns were uses' characterized, respectively, a small open area (as would be typical with a contacVvia) and a large open area (which is comparable with to an interconnect). SiO2(C), SIC(N) and SIN(C) materials as described above were used.
The results can be summarised as follows: Film typeEtch rate Non uniformity Selectivity A/min +/-% to TMS/02 SiO2 Interconnect mask TMS/02 SiO2(C)10,611 8.3 TMS/N2 SiC type3,524 5.3 3.01 TMS/N2 SiN type4,224 6.6 ContacVvia mask TMS/02 SiO2(C)11,328 4.4 TMS/N2 SiC type3,875 5.9 2.92 TMS/N2 SiN type3,932 9.0 \ r.7.
Thus it will be seen that, as well as having a much higher k value, the etching characteristics of the SiN are inferior to those of the low k SiC material.
Patterning or the absence of patterning does not make a significant difference to the selectivity of the low k SiO2(C) to the SiC(N). In the case where there was no photoresist the ratio was 2.9:1, whilst in these two experiments values of 3.01:1 and 2.92:1 were obtained giving an approximate value of 3:1. This etch selectivity combined with the very acceptable uniformity figures indicates that SIC(N) is a useful etch stop material and the figures indicate that end point signals of useful clarity are generated at the 440nm line.
As has already been mentioned above, the SIC(N) material has desirable properties as a low k dielectric in its own right and this leads to the possibility of a two layer stack with no separate distinct "etch stop" layer.
indeed stacks can be built of isyers of materials having similar k values but with sufficiently different etch characteristics that the transition between layers can be detected and automatic processing achieved.
A particular example of an application which can benefit from the use of two low k materials, without the use of an etch stop layer, is the formation of a low k bi-layer for dual damascene applications. Here one layer is used for the formation of vies, whilst the other defines the overlying trenches. As an example, a "trench first" scheme could use the faster etching SiO2(C) overlying slower etching layer in which the vies was formed. The trench pattern could be formed upon its surface by lithography and the trench pattern etched. An end point signal would be produced when the underlying material was reached and a timed over-etch carried out. Then the etch mask (e.g. a photoresist) would be removed and the wafer patterned for the underlying vies. The vies would then be etched in the underlying low-k layer.
An alternative procedure is illustrated in Figure 5. As can be seen Figure 5 illustrates at (a) to (e) a method of forming a wiring channel and via combination which utilises the differences in etch rate that can be obtained for the materials discussed above, whilst utilising their good low k characteristics.
The method described is particularly advantageous in that it removes the need for lithography and mask formation for the vies at the bottom of the wiring channel. As the wiring becomes narrower and narrower the masking of the bottom of the channel becomes more and more challenging.
Thus in Figure 5(a) a first layer o, low k insulating material 10 is deposited on a substrate 11 and a via is part etched in the surface of that material as indicated at 12. At this time the etching of the via formation 11 is relatively straightforward because the whole surface of the layer 10 is exposed.
in Figure 5(b) a second conformal layer 13 is deposited so that it fills the formation 12 but this formation is then reflected at the surface of the layer 13 as shown at 14. The upper surface of 13 is then masked with the desired wiring pattern and Figure 5(c) shows the part etching of the wiring channel 15.
Simultaneously and inevitably the bottom of the formation 14 is also etched and so this progresses down into the formation 12 as shown at (c) and (d). At the point shown in (d) there will be a distance x left to etch in the layer 13, whereas 2 0 there will be a distance y left to etch in the layer 1 1. Although not clearly shown in the schematic drawings y will usually be greater than x and the ratio y/x will determine the relative etch rates which should be selected for the materials of the layers 13 and 11. In the kind of arrangement illustrated in the figures, it is likely that in fact that y will approximately be twice x and so the etch rate of 2 5 material 11 should be twice that of material 13.
Material 11 can conveniently also provide the etch stop signal in the manner postulated above. Thus looking at the etch rates set out above, it will be seen that the carbon-doped silicon nitride and carbon-doped silicon dioxide provide an etch rate ratio or selectivity of approximately 2:1 whereas, as has been mentioned before, the selectivity of silicon dioxide to nitrogen-doped silicon carbide is approximately 3:1. Adjustment of doping can provide other selectivities.

Claims (6)

  1. Claims 1. A method of forming a low k film on a substrate comprising: (a)
    positioning the substrate on a support in a chamber.
    (b) supplying to the chamber in gaseous or vapour from a silicon containing organic compound and nitrogen in the presence of a plasma to deposit a nitrogen-doped silicon carbide film on the su bstrate.
  2. 2. A method as claimed in Claim 1 where the nitrogen-doped silicon carbide is deposited by a plasma driven at frequencies beloved 4 Ml Iz.
    l o
  3. 3. A method as claimed in Claim 1 or Claim 2 wherein the silicon containing organic compound is an alkylsilane.
  4. 4. A method as claimed in any one of Claims 1 to 3 wherein the siliconcontaining compound is a tetrealkysiiane.
  5. 5. A method as claimed in any one of Claims 1 to 3 wherein the silicon containing organic compound is tetramethylsilane.
  6. 6. A method of forming a low k etch stop layer comprising depositing carbon-doped SiO2 by a plasma based reaction at a frequency above 4 MHz and depositing a nitrogen-doped SiC by a plasma based reaction onto the SiO2 material at a frequency below 4 MHz. 2r
    -
GB0408705A 2000-01-19 2001-01-17 Methods and apparatus for forming a film on a substrate Expired - Fee Related GB2398168B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GBGB0001179.1A GB0001179D0 (en) 2000-01-19 2000-01-19 Methods & apparatus for forming a film on a substrate
GB0101160A GB2361808B (en) 2000-01-19 2001-01-17 Methods and apparatus for forming a film on a substrate

Publications (3)

Publication Number Publication Date
GB0408705D0 GB0408705D0 (en) 2004-05-26
GB2398168A true GB2398168A (en) 2004-08-11
GB2398168B GB2398168B (en) 2004-09-15

Family

ID=32715136

Family Applications (2)

Application Number Title Priority Date Filing Date
GB0408706A Expired - Fee Related GB2399453B (en) 2000-01-19 2001-01-17 Methods and apparatus for forming a film on a substrate
GB0408705A Expired - Fee Related GB2398168B (en) 2000-01-19 2001-01-17 Methods and apparatus for forming a film on a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
GB0408706A Expired - Fee Related GB2399453B (en) 2000-01-19 2001-01-17 Methods and apparatus for forming a film on a substrate

Country Status (1)

Country Link
GB (2) GB2399453B (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
EP1094506A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. Capping layer for extreme low dielectric constant films

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6498399B2 (en) * 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
EP1094506A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. Capping layer for extreme low dielectric constant films

Also Published As

Publication number Publication date
GB2399453B (en) 2004-11-03
GB2399453A (en) 2004-09-15
GB0408706D0 (en) 2004-05-26
GB2398168B (en) 2004-09-15
GB0408705D0 (en) 2004-05-26

Similar Documents

Publication Publication Date Title
US6627535B2 (en) Methods and apparatus for forming a film on a substrate
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
US11972944B2 (en) Method for depositing a gap-fill layer by plasma-assisted deposition
US11923190B2 (en) Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI817004B (en) Method for reforming amorphous carbon polymer film
US9711366B2 (en) Selective etch for metal-containing materials
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US6812043B2 (en) Method for forming a carbon doped oxide low-k insulating layer
US6255233B1 (en) In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
TW201631660A (en) Titanium nitride removal
TW201543567A (en) Halogen-free gas-phase silicon etch
JP2001110789A (en) Integrated low-k dielectric and etching stop layer
JP2002520853A (en) Particularly useful for double inlays, dielectric multilayer plasma etch process
JP2002270586A (en) Etching method of organic based insulating film and dual damascene process
TW201611096A (en) Method for critical dimension reduction using conformal carbon films
US20050077628A1 (en) Dual damascene structure and method
TWI823251B (en) Systems and methods for nitride-containing film removal
GB2398168A (en) Method of forming low k films on a substrate
JP2000252359A (en) Etching method for insulating film and formation method for wiring layer
JP2004522315A (en) Semiconductor structure
KR20000026975A (en) Method for manufacturing semiconductor device
KR20000071322A (en) Method of manufacturing a semiconductor device
KR20040065401A (en) Method of manufacturing a semiconductor
JPH0324724A (en) Manufacture of semiconductor device
JPH10178093A (en) Fabrication of semiconductor device

Legal Events

Date Code Title Description
PCNP Patent ceased through non-payment of renewal fee

Effective date: 20110117