CN103633014B - 半导体器件制造方法 - Google Patents

半导体器件制造方法 Download PDF

Info

Publication number
CN103633014B
CN103633014B CN201210300046.6A CN201210300046A CN103633014B CN 103633014 B CN103633014 B CN 103633014B CN 201210300046 A CN201210300046 A CN 201210300046A CN 103633014 B CN103633014 B CN 103633014B
Authority
CN
China
Prior art keywords
etching
semi
device manufacturing
carbon fluorine
conductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210300046.6A
Other languages
English (en)
Other versions
CN103633014A (zh
Inventor
孟令款
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210300046.6A priority Critical patent/CN103633014B/zh
Priority to US13/883,834 priority patent/US20140199846A1/en
Priority to PCT/CN2012/081007 priority patent/WO2014029136A1/zh
Publication of CN103633014A publication Critical patent/CN103633014A/zh
Application granted granted Critical
Publication of CN103633014B publication Critical patent/CN103633014B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

本发明公开了一种半导体器件制造方法,包括:在衬底上的层间介质层中刻蚀形成多个第一开口;在多个第一开口中形成开口修饰层;刻蚀开口修饰层,直至暴露衬底,形成多个第二开口,其中第二开口的深宽比大于第一开口的深宽比。依照本发明的半导体器件制造方法,基于传统光刻工艺的条件下制备出较大的氧化硅深孔,然后沉积氮化硅薄膜获得所需要的特征尺寸,并采用独特的碳氟基气体来刻蚀氮化硅深孔,从而获得较高深宽比结构。

Description

半导体器件制造方法
技术领域
本发明涉及半导体集成电路制造领域,更具体地,涉及一种高深宽比氮化硅接触孔制造及刻蚀技术。
背景技术
接触孔刻蚀是超大规模集成电路的关键技术,随着CMOS进入32nm后的工艺时代,高深宽比孔刻蚀及其填充对器件的良率有相当大的影响。对于先进的存储器而言,深宽比已经达到了40∶1以上的比例,这使得挑战更加巨大。
传统的CMOS器件的接触孔刻蚀的介质是二氧化硅,作为另一应用广泛的电介质材料氮化硅,由于其K值及应力较大几乎没有使用其作为层间介质(ILD)层。它主要用于硬掩摸、刻蚀或者CMP的停止层。随着半导体器件的深入发展,在三、五族光电晶体器件中也有了用武之地。
对于氧化硅、氮化硅薄膜,一般采用碳氟基气体如CF4、CHF3、CH2F2、CH3F等来刻蚀,而对于氧化硅孔刻蚀为了获得较为陡直的深孔结构,则往往采用高功率、高碳链分子气体如C4F6、C4F8等。氮化硅具有比氧化硅更弱的键能,特性介于氧化硅与硅材料之间。如果需要刻蚀高深宽比的氮化硅孔结构,则要对氟基气体有良好控制,同时,大碳链分子往往会产生较多的聚合物,以获得较陡直的深孔;但又不至于由于深孔内刻蚀抑制剂太多而导致刻蚀停止,则需要氧化性气体O2能有效去除侧壁上的聚合物。
另外,随着摩尔定律的持续推进,孔特征尺寸(CD)已经进入100nm的尺寸,如无先进的光刻工艺,直接进行如此小氮化硅孔的制备是不可能的。总之,现有的高深宽比接触孔刻蚀技术存在缺陷,需要进一步提高接触孔刻蚀陡直度以及绝缘介质填充率,确保器件可靠性。
发明内容
有鉴于此,本发明的目的在于提供一种创新性的接触孔刻蚀方法,提高侧壁陡直度以及深宽比,同时还能提高绝缘介质填充率,最终提高了器件的可靠性。
实现本发明的上述目的,是通过提供一种半导体器件制造方法,包括:在衬底上的层间介质层中刻蚀形成多个第一开口;在多个第一开口中形成开口修饰层;刻蚀开口修饰层,直至暴露衬底,形成多个第二开口,其中第二开口的深宽比大于第一开口的深宽比。
其中,层间介质层包括氧化硅、氮化硅、低k材料及其组合。
其中,开口修饰层包括氮化硅。
其中,采用LPCVD、PECVD沉积形成开口修饰层。
其中,采用等离子体干法刻蚀来刻蚀开口修饰层,刻蚀气体包括碳氟基气体和氧化性气体。
其中,碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合。
其中,碳氟基气体包括第一类碳氟基气体以及第二类碳氟基气体,第一类碳氟基气体的碳氟比要小于第二类碳氟基气体的碳氟比。
其中,第一类碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合,第二类碳氟基气体包括C4F6、C4F8及其组合。
其中,氧化性气体包括CO、O2及其组合。
其中,采用等离子体干法刻蚀来刻蚀层间介质层,刻蚀气体包括C4F6、C4F8及其组合。
其中,衬底中和/或衬底上还包括下层结构,多个第一开口和/或多个第二开口暴露下层结构。
其中,刻蚀开口修饰层的设备是采用LAM Exelan HPt腔体或者中微半导体PrimoDRIE腔体,并且均采用双射频系统。
依照本发明的半导体器件制造方法,基于传统光刻工艺的条件下制备出较大的氧化硅深孔,然后沉积氮化硅薄膜获得所需要的特征尺寸,并采用独特的碳氟基气体来刻蚀氮化硅深孔,从而获得较高深宽比结构。
附图说明
以下参照附图来详细说明本发明的技术方案,其中:
图1至图3为依照本发明的半导体器件制造方法各步骤的剖面示意图;以及
图4为依照本发明的半导体器件制造方法的流程图。
具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”、“厚”、“薄”等等可用于修饰各种器件结构。这些修饰除非特别说明并非暗示所修饰器件结构的空间、次序或层级关系。
参照图4以及图1,在衬底1上的层间介质层3中刻蚀形成多个第一开口3A。
提供衬底1,其可以是体Si、SOI、体Ge、GeOI、SiGe、GeSb,也可以是III-V族或者II-VI族化合物半导体衬底,例如GaAs、GaN、InP、InSb等等。为了与现有的CMOS工艺兼容以应用于大规模数字集成电路制造,衬底1优选地为体Si或者SOI。采用现有的半导体器件制造工艺(例如CMOS兼容工艺),在衬底1中和/或衬底1上形成多个下层结构2。其中,下层结构2在MOSFET中可以是源漏区、源漏区上的金属硅化物、或者是栅极,下层结构2在集成电路中也可以是下层互连线(例如多层互连中的下层互连线,或者是接触焊垫),在存储器阵列中也可以是存储器单元中的MOSFET源端或者漏端、或者单元中的电容等无源器件的电极,或者下层结构2是用于保护其他器件结构的刻蚀停止层(例如后栅工艺中的接触刻蚀停止层CESL,或者多层互连之间的刻蚀停止层)。图1中虽然仅显示了下层结构2形成在衬底1中并且位于衬底1上表面附近,但是实际上下层结构2也可以形成在衬底1上表面之上(例如突出衬底表面的栅极)或者形成在衬底1的下表面中。此外,虽然图1中显示下层结构2为相连的一个整体,但是实际上下层结构2可以依照电路布局需要而划分成多个部分,例如分别代表MOSFET源区和漏区,或者代表不同的布线层。
在衬底1上形成层间介质层(ILD)3。ILD3可以是氧化硅、氮化硅、低k材料及其组合(组合方式可以是堆叠也可以是混杂),其中低k材料包括但不限于有机低k材料(例如含芳基或者多元环的有机聚合物)、无机低k材料(例如无定形碳氮薄膜、多晶硼氮薄膜、氟硅玻璃、BSG、PSG、BPSG)、多孔低k材料(例如二硅三氧烷(SSQ)基多孔低k材料、多孔二氧化硅、多孔SiOCH、掺C二氧化硅、掺F多孔无定形碳、多孔金刚石、多孔有机聚合物)。形成ILD3的方法可以是快速热氧化(RTO)、LPCVD、PECVD、HDPCVD、旋涂、喷涂、丝网印刷等等。ILD3的厚度要大于等于需要形成的接触塞或者互连线的厚度。
刻蚀ILD3,直至暴露下层结构2,形成多个第一开口3A。第一开口3A可以是接触孔(例如MOSFET中的源漏接触孔),也可以是沟槽(例如多层互连中,对应于大马士革结构中的某一层布线所占据的空间)。其中,第一开口3A的深宽比优选地大于2.5∶1,并且其宽度要大于最终需要形成的实际开口宽度。例如第一开口3A的宽度是180nm,深度是500nm。具体地,对于氧化硅材质的ILD3,可以采用碳氟基气体进行等离子体干法刻蚀,获得较为陡直的并且较高深宽比的开口。碳氟基气体可以是CF4、CHF3、CH2F2、CH3F,并且为了获得更高深宽比的陡直开口,碳氟基气体优选地是高功率、高碳链分子气体如C4F6、C4F8等。由于C4F6、C4F8等气体的基碳氟比较高,除了作为刻蚀性气体,更重要的在于其能够产生较多的硅氧碳氟聚合物薄膜,沉积在孔洞侧壁及底部,从而对氧化硅等材质的ILD3形成各向异性刻蚀。
参照图4以及图2,在多个第一开口3A中形成开口修饰层4。开口修饰层4的材质不同于衬底1以及ILD3,例如是氮化硅,用于修饰开口的陡直侧壁以及调整开口的深宽比。形成开口修饰层4的方法可以是LPCVD、PECVD、HDPCVD等,并且优选LPCVD。开口修饰层4的厚度依照第一开口3A的宽度与最终需要的第二开口3B(图3中所示)的宽度之差来决定。例如开口修饰层4的厚度为100nm时,第二开口3B的宽度将为80nm,由此将使得深宽比从2.67∶1增大至6.25∶1。值得注意的是,虽然本发明实施例中仅列举了氮化硅材质的开口修饰层4,但是任何与衬底1以及ILD3材质不同的绝缘材料均可以用作开口修饰层4,只要能使得相邻材料层之间具有较大的刻蚀选择比,也即在相同刻蚀气体下刻蚀速率不同、或者在不同刻蚀气体下刻蚀速率(更大程度地)不同。
参照图4以及图3,刻蚀开口修饰层4,直至暴露衬底1或者下层结构2,形成多个第二开口3B。刻蚀方法优选地是等离子体干法刻蚀。
在本发明一个实施例中,刻蚀设备例如是采用LAM Exelan HPt腔体,采用双射频系统,高频功率为27MHz主要用来产生等离子体,用于调节等离子体密度;低频系统为2MHz用于增强离子能量及轰击强度,提升刻蚀方向性。这使得可以根据刻蚀深孔的具体特点进行不同的优化,而不改变所刻蚀孔在其他方面的形貌特征。其他厂商的刻蚀设备基于同样的原理,可以进行类似的调节,亦属于本专利的保护范围。等离子体干法刻蚀的刻蚀气体包括碳氟基气体以及氧化性气体。由于氮化硅刻蚀机制与氧化硅不同,如果仍然采用如此高碳链分子,易于产生较多的聚合物,使得侧壁变得粗糙,并且,刻蚀后的聚合物清除步骤较为困难。因此,在当前的本发明中,优选含氢碳氟基气体CH3F、CHF3、CH2F2对氮化硅薄膜进行刻蚀,由于产生较少的聚合物,不仅能够提升刻蚀速度,而且在刻蚀后清除步骤也较好控制。氧化性气体CO、O2用于辅助性的去除已产生的并且在刻蚀过程中产生的聚合物,使得刻蚀不至于停止。但氧化性气体的添加大小,要考虑到对聚合物去除的影响,以获得理想的CD。
具体地,作为一个实施例,优选CHF3、CH2F2、O2等进行刻蚀。由于刻蚀设备厂商众多,这里的参数设定以LAM Exelan HPt的刻蚀设备为例。腔体压力保持在60mt,气体流量分别为30sccm CHF3、20sccmCH2F2、10sccm O2、500sccm Ar,高低频功率选择600W/400W,从而刻蚀出陡直的形貌。
此外,对于高深宽比的刻蚀孔,上述陡直的形貌,会为后续的填充带来相当挑战。有时候为了后续的工艺,会将底部的尺寸做的小一些,顶部的略大一些。作为一个实施例,通过增加碳氟基气体(例如CH2F2)的流量,同时也可降低例如O2的氧化性气体的流量,增强聚合物在侧壁的沉积,使得侧向刻蚀降低。底部沉积的聚合物可以在低频高功率下被轰击掉,而不影响各向异性的刻蚀。最终,形成略倾斜的刻蚀形貌。工艺参数可以设定如下,腔体压力60mt,气体流量分别为30sccm CHF3、25sccm CH2F2、8sccm O2、500sccm Ar,高低频功率选择600W/400W。
在本发明另一个实施例中,刻蚀方法优选地是等离子体干法刻蚀,并且刻蚀设备例如是采用中微半导体Primo DRIE腔体,采用双射频系统,高频功率为60MHz主要用来产生等离子体,用于调节等离子体密度;低频系统为2MHz用于增强离子能量及轰击强度,提升刻蚀方向性。两者之间是去耦合的,以免相互影响。这使得可以根据刻蚀深孔的具体特点进行不同的优化,而不改变所刻蚀孔在其他方面的形貌特征。其他厂商的刻蚀设备基于同样的原理,可以进行类似的调节,亦属于本专利的保护范围。
等离子体干法刻蚀的刻蚀气体包括碳氟基气体以及氧化性气体。由于氮化硅刻蚀机制与氧化硅不同,如果仍然单纯采用高碳链分子(碳氟比较高),易于产生较多的聚合物,使得侧壁变得粗糙,并且,刻蚀后的聚合物清除步骤较为困难。因此,往往需要较高的偏置功率将高碳链分子打碎,在与O2的反应中易于去除。从而,获得较好的刻蚀形貌。
在当前的本发明中,碳氟基气体至少包括两种:一种是碳氟比较低的含氢碳氟基气体,如CH3F、CHF3、CH2F2及其组合,对氮化硅薄膜进行刻蚀,由于产生较少的聚合物,不仅能够提升刻蚀速度,而且在刻蚀后清除步骤也较好控制;另一种是碳氟比较高的碳氟基气体,例如C4F6、C4F8,由于其碳氟比较高,除了作为刻蚀性气体,更重要的在于其能够产生较多的硅氧碳氟聚合物薄膜,沉积在孔洞侧壁及底部,从而对氧化硅形成各向异性刻蚀。
氧化性气体包括CO、O2及其组合,用于辅助性的去除已产生的并且在刻蚀过程中产生的聚合物,使得刻蚀不至于停止。但氧化性气体的添加大小,要考虑到对聚合物去除的影响,以获得理想的CD。
具体地,作为一个实施例,优选C4F8、CH2F2、O2等进行刻蚀。由于刻蚀设备厂商众多,这里的参数设定以中微半导体Primo DRIE的刻蚀设备为例。腔体压力保持在40mt,气体流量分别为40sccm C4F8、80sccm CH2F2、100sccm O2、400sccm Ar,高低频功率选择600W/1500W,从而刻蚀出陡直的形貌。
此外,对于高深宽比的刻蚀孔,上述陡直的形貌,会为后续的填充带来相当挑战。有时候为了后续的工艺,会将底部的尺寸做的小一些,顶部的略大一些。作为一个实施例,通过增加高碳氟比的碳氟基气体(例如C4F8)的流量,同时也可降低例如O2的氧化性气体的流量,增强聚合物在侧壁的沉积,使得侧向刻蚀降低。底部沉积的聚合物可以在低频高功率下被轰击掉,而不影响各向异性的刻蚀。最终,形成略倾斜的刻蚀形貌。工艺参数可以设定如下,腔体压力40mt,气体流量分别为50sccm C4F8、80sccm CH2F2、90sccm O2、400sccm Ar,高低频功率选择600W/1500W。
最终形成的第二开口3B为实际所需的接触孔或者互连线沟槽,其宽度要小于第一开口3A,因此提高了深宽比。例如第一开口3A的宽度为180nm且深度为500nm,开口修饰层4的厚度为100nm时,第二开口3B的宽度将为80nm,由此将使得深宽比从2.67∶1增大至6.25∶1。图1至图3中所示各个层的厚度并非依照数量关系等比例绘制,仅为了示意相互位置关系,因此不应视作限定了本发明的各个层的相对厚度关系。
此外,由于刻蚀设备可调节的参数实在太多,任何说明都无法穷尽不同薄膜不同状况的具体刻蚀。因此,在选用气体时,要根据需求及不同的薄膜而定,进而视具体情况可获得不同的刻蚀形貌。如增加聚合物量(增加碳氟基气体流量),可产生略倾斜的深孔,却可以方便后续介质的填充;增加氧化性气体,可调节聚合物数量,形成较为陡直的深孔,但可能会对后续介质的填充带来挑战。
此外,虽然本发明刻蚀修饰层时在两个不同刻蚀设备中使用了不同的刻蚀气体,但是设备与气体组成是可以互换的,也即LAM Exelan HPt腔体可以使用上述第一类碳氟基气体以及第二类碳氟基气体,或者中微半导体Primo DRIE腔体也可以仅使用低碳氟比的刻蚀气体。
依照本发明的半导体器件制造方法,基于传统光刻工艺的条件下制备出较大的氧化硅深孔,然后沉积氮化硅薄膜获得所需要的特征尺寸,并采用独特的碳氟基气体来刻蚀氮化硅深孔,从而获得较高深宽比结构。
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims (11)

1.一种半导体器件制造方法,包括:
在衬底上的层间介质层中刻蚀形成多个第一开口;
在多个第一开口中形成开口修饰层;
刻蚀开口修饰层,直至暴露衬底,形成多个第二开口,其中第二开口的深宽比大于第一开口的深宽比,
其中,采用等离子体干法刻蚀来刻蚀开口修饰层,刻蚀气体包括碳氟基气体和氧气,并且增加碳氟基气体的流量同时降低氧气的流量以增强聚合物在侧壁的沉积使得侧向刻蚀降低。
2.如权利要求1的半导体器件制造方法,其中,层间介质层包括氧化硅、氮化硅、低k材料及其组合。
3.如权利要求1的半导体器件制造方法,其中,开口修饰层包括氮化硅。
4.如权利要求1的半导体器件制造方法,其中,采用LPCVD、PECVD沉积形成开口修饰层。
5.如权利要求1的半导体器件制造方法,其中,碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合。
6.如权利要求1的半导体器件制造方法,其中,碳氟基气体包括第一类碳氟基气体以及第二类碳氟基气体,第一类碳氟基气体的碳氟比要小于第二类碳氟基气体的碳氟比。
7.如权利要求6的半导体器件制造方法,其中,第一类碳氟基气体包括CF4、CHF3、CH3F、CH2F2及其组合,第二类碳氟基气体包括C4F6、C4F8及其组合。
8.如权利要求1的半导体器件制造方法,其中,刻蚀气体还包括CO。
9.如权利要求1的半导体器件制造方法,其中,采用等离子体干法刻蚀来刻蚀层间介质层,刻蚀气体包括C4F6、C4F8及其组合。
10.如权利要求1的半导体器件制造方法,其中,衬底中和/或衬底上还包括下层结构,多个第一开口和/或多个第二开口暴露下层结构。
11.如权利要求1的半导体器件制造方法,其中,刻蚀开口修饰层的设备是采用LAMExelan HPt腔体或者中微半导体Primo DRIE腔体,并且均采用双射频系统。
CN201210300046.6A 2012-08-21 2012-08-21 半导体器件制造方法 Active CN103633014B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201210300046.6A CN103633014B (zh) 2012-08-21 2012-08-21 半导体器件制造方法
US13/883,834 US20140199846A1 (en) 2012-08-21 2012-09-05 Method of manufacturing semiconductor device
PCT/CN2012/081007 WO2014029136A1 (zh) 2012-08-21 2012-09-05 半导体器件制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210300046.6A CN103633014B (zh) 2012-08-21 2012-08-21 半导体器件制造方法

Publications (2)

Publication Number Publication Date
CN103633014A CN103633014A (zh) 2014-03-12
CN103633014B true CN103633014B (zh) 2018-03-30

Family

ID=50149368

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210300046.6A Active CN103633014B (zh) 2012-08-21 2012-08-21 半导体器件制造方法

Country Status (3)

Country Link
US (1) US20140199846A1 (zh)
CN (1) CN103633014B (zh)
WO (1) WO2014029136A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105140173B (zh) * 2014-05-30 2018-10-16 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
CN104733306B (zh) * 2015-04-17 2018-01-26 上海华虹宏力半导体制造有限公司 一种半导体器件刻蚀方法
CN110534402B (zh) * 2018-05-24 2022-06-14 北京北方华创微电子装备有限公司 复合介质层的刻蚀方法以及复合介质层
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
CN110137080A (zh) * 2019-05-22 2019-08-16 长江存储科技有限责任公司 半导体器件的形成方法
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
CN1953142A (zh) * 2005-10-21 2007-04-25 联华电子股份有限公司 制作开口与接触孔的方法
CN101459125A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 连接孔的形成方法
CN101567315A (zh) * 2008-04-24 2009-10-28 中芯国际集成电路制造(上海)有限公司 一种金属槽的制作方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399284B1 (en) * 1999-06-18 2002-06-04 Advanced Micro Devices, Inc. Sub-lithographic contacts and vias through pattern, CVD and etch back processing
JP2002319574A (ja) * 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
DE10153310A1 (de) * 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
KR100695484B1 (ko) * 2004-01-13 2007-03-15 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
DE102005024912A1 (de) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
CN101645408B (zh) * 2008-08-04 2012-05-16 中芯国际集成电路制造(北京)有限公司 焊盘及其形成方法
CN101764059B (zh) * 2008-12-25 2012-05-23 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法及沟槽形成方法
US8211805B2 (en) * 2009-02-13 2012-07-03 Vanguard International Semiconductor Corporation Method for forming via

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
CN1953142A (zh) * 2005-10-21 2007-04-25 联华电子股份有限公司 制作开口与接触孔的方法
CN101459125A (zh) * 2007-12-13 2009-06-17 中芯国际集成电路制造(上海)有限公司 连接孔的形成方法
CN101567315A (zh) * 2008-04-24 2009-10-28 中芯国际集成电路制造(上海)有限公司 一种金属槽的制作方法

Also Published As

Publication number Publication date
WO2014029136A1 (zh) 2014-02-27
US20140199846A1 (en) 2014-07-17
CN103633014A (zh) 2014-03-12

Similar Documents

Publication Publication Date Title
CN103633014B (zh) 半导体器件制造方法
KR101882049B1 (ko) 직사각형 프로파일을 갖는 스페이서 및 그 형성 방법
TWI279861B (en) Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
KR100768363B1 (ko) 반도체 집적회로장치의 제조방법 및 반도체 집적회로장치
US10211062B2 (en) Semiconductor structures and fabrication methods thereof
CN100423208C (zh) 等离子体蚀刻方法和蚀刻工具以及蚀刻构件的方法
JP2001244337A (ja) 基材上にフィルムを作る方法及び装置
JP2006013190A (ja) 半導体装置の製造方法
CN104979203B (zh) Mos晶体管和导电插塞的形成方法
JP4492949B2 (ja) 電子デバイスの製造方法
WO2007116964A1 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
KR100643570B1 (ko) 반도체 소자 제조 방법
JP2006024730A (ja) 半導体装置の製造方法
CN103531464B (zh) 氮化硅高深宽比孔的刻蚀方法
TW200824002A (en) Method for fabricating semiconductor device
US9455156B2 (en) Method of making a semiconductor device using multiple layer sets
CN104078418B (zh) 半导体器件制造方法
JP2001085389A (ja) 半導体装置のドライエッチング方法
CN105390433A (zh) 半导体器件制造方法
KR20220043851A (ko) 라인 벤딩을 감소시키기 위한 금속 하드 마스크들
JP2005005697A (ja) 半導体装置の製造方法
JP4436606B2 (ja) 半導体装置の製造方法
CN106971973B (zh) 一种半导体器件及其制造方法、电子装置
KR101103809B1 (ko) 반도체 소자의 제조 방법
JPH0629252A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant