CN113454131A - 聚合物、含有聚合物而成的半导体组合物、以及使用了半导体组合物的膜的制造方法 - Google Patents

聚合物、含有聚合物而成的半导体组合物、以及使用了半导体组合物的膜的制造方法 Download PDF

Info

Publication number
CN113454131A
CN113454131A CN202080015180.4A CN202080015180A CN113454131A CN 113454131 A CN113454131 A CN 113454131A CN 202080015180 A CN202080015180 A CN 202080015180A CN 113454131 A CN113454131 A CN 113454131A
Authority
CN
China
Prior art keywords
polymer
composition
group
film
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080015180.4A
Other languages
English (en)
Inventor
仁川裕
片山朋英
矢野友嗣
张锐
菱田有高
铃木理人
小崎力生
冈村聪也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Merck Patent GmbH
Original Assignee
Merck Patent GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Merck Patent GmbH filed Critical Merck Patent GmbH
Publication of CN113454131A publication Critical patent/CN113454131A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • C08F220/343Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate in the form of urethane links
    • C08F220/346Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate in the form of urethane links and further oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/281Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing only one oxygen, e.g. furfuryl (meth)acrylate or 2-methoxyethyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • C08F220/301Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety and one oxygen in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/34Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate
    • C08F220/36Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate containing oxygen in addition to the carboxy oxygen, e.g. 2-N-morpholinoethyl (meth)acrylate or 2-isocyanatoethyl (meth)acrylate
    • C08F220/365Esters containing nitrogen, e.g. N,N-dimethylaminoethyl (meth)acrylate containing oxygen in addition to the carboxy oxygen, e.g. 2-N-morpholinoethyl (meth)acrylate or 2-isocyanatoethyl (meth)acrylate containing further carboxylic moieties
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/52Amides or imides
    • C08F220/54Amides, e.g. N,N-dimethylacrylamide or N-isopropylacrylamide
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Medicinal Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Emergency Medicine (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

提供形成膜时可以减少升华物的新型聚合物以及包含该聚合物的组合物。根据本发明的聚合物(A)包含从以下描述的由单元(a)、单元(b)、单元(c)和单元(d)组成的组中选择的至少一个结构单元,单元(a)、(b)、(c)和(d)的各自的重复数na、nb、nc和nd满足下式:na+nb>0、nc≥0和nd≥0。

Description

聚合物、含有聚合物而成的半导体组合物、以及使用了半导体 组合物的膜的制造方法
技术领域
本发明涉及含有特定的结构单元而成的聚合物、以及含有该聚合物而成的半导体组合物。此外,本发明涉及使用了半导体组合物的膜、以及器件的制造方法。
背景技术
在半导体等器件的制造过程中,通常通过使用光致抗蚀剂的光刻技术进行精细加工。微细加工的工序是在硅晶片等半导体基板上形成薄的光致抗蚀剂层,用对应于以该层为目标的器件的图案的掩模图案覆盖该层,通过掩模图案用紫外线等活性光线曝光该层,通过显影曝光的层而得到光致抗蚀剂图案,将得到的光致抗蚀剂图案作为保护膜来蚀刻基板,由此形成与上述图案对应的精细凹凸。
在这些光刻工序中,由于来自基板的光的反射而引起的驻波的影响、以及由于基板的阶梯差引起的曝光光的乱反射的影响,导致光致抗蚀剂图案的尺寸精度降低的问题。因此,为了解决这个问题,广泛讨论了设置下层防反射膜的方法。作为这样的下层防反射膜所要求的特性,可列举出:对用于光致抗蚀剂的曝光的放射线具有大的吸光度,防止乱反射等使得曝光和显影后的光致抗蚀剂的截面与基板表面垂直,以及对光致抗蚀剂组合物中包含的溶剂具有难溶性(不容易发生混合)等。
专利文献1公开了包含交联剂的防反射涂层组合物。虽然专利文献2公开了具有特定聚合物的精细抗蚀剂图案形成用组合物,但是没有记载是否能够形成下层防反射膜、或是否具有作为下层防反射膜的要求特性。
现有技术文献
专利文献
专利文献1:国际公开2011/101737
专利文献2:日本特开2014-71424号公报
专利文献3:国际公开2007/111147
发明内容
发明所要解决的课题
本发明者认为还有一个以上的课题需要改进。它们例如可以列举如下:减少升华物;合适的耐腐蚀性;涂抹性;对复杂而精细的基板的嵌入性(例如,阶梯状基板);可以在低温下形成膜;大吸光度;合适的折射率;驻波减少;减少与其他组合物(例如抗蚀剂组合物)或膜的混合;形成的膜的上表面平坦;溶质溶性高;保存稳定性;形成的抗蚀剂图案形状良好,成品率高:LWR低。
本发明是基于上述技术背景而完成的,提供新的聚合物及包含其而成的组成物。
用于解决课题的手段
本发明的聚合物(A),包含从以下单元(a)、单元(b)、单元(c)和单元(d)组成的组中选择的至少一个结构单元:
式(a)所表示的单元(a):
Figure BDA0003217457270000021
(式(a)中,Ra1和Ra2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,
La为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
ma为0~1,
Xa1为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中的1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),
Ya为N或O,其中,Ya为N时pa为1或2的整数,Ya为O时pa为1,
Xa2为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中的1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),pa为2时,2个Xa2可以相同也可以不同,
其中,还可以是Xa1与Xa2键合,和/或pa为2时2个Xa2键合,形成饱和环或不饱和环);
式(b)所表示的单元(b):
Figure BDA0003217457270000031
(式(b)中,
Rb1和Rb2分别独立地为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,
mb为0~1,
Lb为单键、C1-5亚烷基、C6-20亚芳基、-O-、或C1-5亚烷氧基,
Zb为C6-20芳香族烃或C7-20芳香族取代脂肪族烃,
pb为0~4,
qb为1~3,
Rb3为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,pb>1时,各个Rb3可以相同也可以不同,
Rb4为H或甲基,qb>1时,各Rb4可以相同也可以不同,
其中,单元(b)包含含有至少1个缩合结构的芳基);
式(c)所表示的单元(c):
Figure BDA0003217457270000041
(式(c)中,
Rc1和Rc2分别独立地为H、C1-5烷基、C1-5烷氧基、或-COOH,
mc为0~1,
Lc为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
Vc为缩合芳香族烃或缩合杂环,Vc为3~6个环缩合而成,
pc为0~5,
Rc3为C1-5烷基、C1-5烷氧基、或-COOH,pc>1时,各Rc3可以相同也可以不同);以及
下述式(d)所表示的单元(d):
Figure BDA0003217457270000051
(式(d)中,
Rd1和Rd2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,
md为0~1,
Ld为单键、C1-5亚烷基(C1-5亚烷基中的1个以上的-CH2-可以被-NH-、-C(=O)-和/或-O-替换,且C1-5亚烷基中的1个以上的-CH3可以被-OH、-NH2和/或-COOH替换)、-O-、或C1-5亚烷氧基),
单元(a)、(b)、(c)以及(d)的重复数分别为na、nb、nc以及nd,其满足以下的式:
na+nb>0,nc≥0,以及nd≥0。
本发明的半导体组合物含有上述聚合物(A),以及溶剂(B)。
本发明的膜的制造方法包括下述工序:
(1)在基板的上方应用本发明的半导体组合物;以及
(2)加热前述半导体组合物,形成膜。
本发明的器件的制造方法包括上述记载的方法。
发明的效果
可以减少升华物。可以控制耐腐蚀性。可以获得具有良好涂敷性的组合物。可以将组合物良好地嵌入复杂而精细的基板(例如,阶梯状基板)中。在低温下可以形成膜。可以获得具有大吸光度的膜。可以获得具有优选折射率的膜。可以减少驻波。可以减少与其他组合物(例如抗蚀剂组合物)或膜的混合。可以提高形成的膜的上表面的平坦性。可以获得溶质溶解性高的组合物。可以获得具有高保存稳定性的组合物。可以以高成品率形成形状好的抗蚀剂图案。可以形成LWR低的图案。
具体实施方式
在本说明书中,除非特别限定地提及,否则单数形式包括多数形式,“一个”或“其”意味着“至少一个”。在本说明书中,除非特别提及,否则某些概念元素可以由多种类型体现,如果描述了该量(例如质量%或摩尔%),则该量意味着多种类之和。
“和/或”包括元素的所有组合,并且还包括单独使用。
在本说明书中,当使用“~”或“-”来指示数值范围时,除非特别限定地提及,它们包括两个端点,并且单位是共同的。例如,5~25摩尔%表示5摩尔%以上且25摩尔%以下。
在本说明书中,“Cx-y”、“Cx~Cy”及“Cx”等的记载表示分子或取代基中的碳的数量。例如,C1-6烷基表示具有1以上6以下的碳的烷基链(甲基、乙基、丙基、丁基、戊基、己基等)。
在本说明书中,如果聚合物具有多种重复单元,则这些重复单元是共聚的。除非特别限定地提及,这些共聚可以是交替共聚、随机共聚、嵌段共聚、接枝共聚或它们的混合。用结构式表示聚合物或树脂时,括号中一并记载的n或m等表示重复数。
在本说明书中,除非特别限定和提及,否则温度单位使用摄氏(Celsius)。例如,20度意味着摄氏20度。
聚合物(A)
根据本发明的聚合物(A)包括从以下描述的由单元(a)、单元(b)、单元(c)和单元(d)组成的组中选择的至少一个结构单元,单元(a)、(b)、(c)和(d)的各自重复数na、nb、nc和nd满足下式:na+nb>0、nc≥0和nd≥0,优选na>0。
单元(a)由式(a)表示。
Figure BDA0003217457270000071
式(a)中,
Ra1和Ra2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,
La为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
ma为0~1,
Xa1为H、或C1-5烃基(C1-5烃基中1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),
Ya为N或O,其中,Ya为N时,pa为1或2的整数,Ya为O时pa为1,
Xa2为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中的1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),pa为2时,2个Xa2可以相同也可以不同,
其中,还可以是Xa1与Xa2键合,和/或pa为2时,2个Xa2键合,形成饱和环或不饱和环。
作为垂饰引入的单元(a)被认为其本身是可以交联的。由此,在使用含有聚合物(A)的组合物进行成膜的情况下,即使不包含交联剂,也能够形成交联的聚合物。
Ra1优选为H、甲基、乙基、t-丁基或甲氧基,更优选为H或甲基,进一步优选为H。
Ra2优选为H、甲基、乙基、t-丁基或甲氧基,更优选为H或甲基,进一步优选为甲基。
La优选是单键、亚甲基、乙烯基、丙烯基、-O-或亚甲氧基(Methoxylene),更优选是亚甲基或乙烯基,更优选是亚甲基。
ma优选为0或1,更优选为1。聚合物(A)可以具有多种类型的单元(a),但在这种情况下,可以取非整数的数目,例如ma=0.5。以后,关于聚合物的公式中的数,除非明确是整数,否则相同。
Ya优选为N,pa优选为2。作为另一种形式,可列举出Ya为N、且pa为1,但此时N与Xa2是双键键合(-N=Xa2)。
Xa1的C1-5烃基可以是直链也可以是支链。Xa1优选为H、甲基、乙基或丙基,更优选为H。
Xa2的C1-5烃基可以分别独立地为直链或支链。Xa2分别独立地优选为C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-替换,并且C1-5烃基中的1个以上的-CH3可以分别独立地被-NH2替换)。
优选的是式(a)由以下的式(a-1)表示。
Figure BDA0003217457270000081
式(a)中,
Ra1、Ra2、La、ma、和Xa1如上所述,Xa3和Xa4分别独立地为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,并且C1-5烃基中的1个以上的-CH3可以分别独立地被-NH2和/或-COOH替换),
其中,还可以是Xa1与Xa3或Xa4键合、和/或Xa3与Xa4键合,形成饱和环或不饱和环。
Xa3和Xa4的C1-5烃基可以分别独立地为直链或支链。Xa3和Xa4分别独立地优选为C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-替换,并且C1-5烃基中的1个以上的-CH3可以分别独立地被-NH2替换)。
Xa1与Xa3或Xa4优选不形成环。Xa3与Xa4形成环时,优选为5~7元环,构成环的原子中的1个或2个原子为氮原子,余下的原子为碳原子。
Xa3与Xa4不形成环时,Xa3与Xa4分别独立地为H、甲基或乙基,更优选为H或甲基。优选的一个形式为Xa3和Xa4中的任一个为甲基。
式(a-1)的具体例例如以下。
Figure BDA0003217457270000091
另外,式(a)还可以是以式(a-2)表示的情况。
Figure BDA0003217457270000101
式(a-2)中,Ra1、Ra2、La、ma、和Xa1如上所述,Xa5为C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,并且C1-5烃基中的1个以上的-CH3可以分别独立地被-NH2和/或-COOH替换),
其中,还可以是Xa1与Xa5键合,形成饱和环或不饱和环。优选的是Xa1与Xa5不形成环。
Xa5的C1-5烃基可以是直链也可以是支链,优选为支链。优选的是Xa5为C1-4烃基,C1-4烃基中的-CH2-不被-NH-、-C(=O)-和-O-替换,并且C1-4烃基中的-CH3不被-NH2和-COOH替换。
式(a-2)的具体例例如以下。
Figure BDA0003217457270000102
另外,式(a)还可以是以式(a-3)表示的情况。
Figure BDA0003217457270000111
式(a-3)中,Ra1、Ra2、La、ma、和Xa1如上所述,Xa6为C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,并且C1-5烃基中的1个以上的-CH3可以分别独立地被-NH2和/或-COOH替换),
其中,还可以是Xa1与Xa6键合,形成饱和环或不饱和环。
Xa6优选可列举出甲基、乙基、正丙基、异丙基以及叔丁基,更优选为甲基、正丙基。
式(a-3)的具体例,例如以下。
Figure BDA0003217457270000112
单元(b)由式(b)表示。
Figure BDA0003217457270000121
式(b)中,
Rb1和Rb2分别独立地为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,
mb为0~1,
Lb为单键、C1-5亚烷基、C6-20亚芳基、-O-、或C1-5亚烷氧基,
Zb为C6-20芳香族烃或C7-20芳香族取代脂肪族烃,
pb为0~4,
qb为1~3,
Rb3为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,pb>1时,各Rb3可以相同也可以不同,
Rb4为H或甲基,qb>1时,各Rb4可以相同也可以不同,
其中,可以说单元(b)含有具有至少一个缩合结构的芳基。单元(b)含有至少一个缩合环式烃而成。这种缩合环式烃优选为萘基或蒽。
作为Rb1,优选为H、甲基、乙基、或叔丁基,更优选为H。
作为Rb2,优选为H、甲基、乙基、或叔丁基,更优选为H或甲基,进一步优选为甲基。
mb优选为0或1,更优选为1。
Lb优选为单键、亚甲基、乙烯基、丙烯基、萘、亚蒽(anthracenylene)、-O-、亚甲氧基(methoxylene)或亚乙氧基(ethoxylene),更优选为单键、亚甲基或萘,进一步优选为单键或萘,更进一步优选为单键。
Rb3优选为H、甲基、乙基、丙基、甲氧基、甲氧基甲基,更优选为H、甲基、甲氧基甲基,进一步优选为H或甲氧基甲基,更进一步优选为H。pb优选为0~3,更优选为0~2,进一步优选为0或1,更进一步优选为0。pb=0时,可以说Rb3实际上是H。为了确保明确性,pb=0时,Rb3≠H也可以。
Rb4优选为H。qb优选为1~2,更优选为1或2,进一步优选为1。
通过具有单元(b),由聚合物(A)形成的膜被认为具有优选的折射率(n)。因此,具有单元(b)的聚合物(A)对于抗蚀剂下层膜形成组合物有用,并且对于下层防反射膜形成组合物更有用。另外,对于上层防反射膜形成组合物也是有用的。
需要说明的是,下述结构是单元(b)的一例,这种情况是:在上述式(b)中,Rb1和Rb2为H,mb为1,
Lb和Zb分别为下述结构,
pb为4,qb为2,
Rb3全部为-CH2-O-CH3
Rb4全部为H。
Figure BDA0003217457270000131
Zb优选为苯环、萘环、蒽环、二苯基甲酯、二苯基乙酯、或苯基萘甲酯,更优选Zb为萘环。
单元(b)还可以由以下的式(b-1)表示。
Figure BDA0003217457270000141
式(b-1)中,
Rb1、Rb2、mb、Lb、pb、qb、Rb3和Rb4分别独立地如上所述。
式(b-1)的具体例例如以下。
Figure BDA0003217457270000142
作为式(b-1),更优选为以下。
Figure BDA0003217457270000151
单元(c)由式(c)表示。
Figure BDA0003217457270000152
式(c)中,
Rc1和Rc2分别独立地为H、C1-5烷基、C1-5烷氧基、或-COOH,
mc为0~1,
Lc为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
Vc为缩合芳香族烃或缩合杂环,Vc为3~6个环缩合而成,
pc为0~5,
Rc3为C1-5烷基、C1-5烷氧基、或-COOH,pc>1时,各Rc3可以相同也可以不同。
作为Rc1,优选为H、甲基、或乙基,更优选为H。
作为Rc2,优选为H、甲基、或乙基,更优选为H或甲基,进一步优选为甲基。
作为Lc,优选为单键、亚甲基、乙烯基、丙烯基、-O-、或亚甲氧基(methoxylene),更优选为单键或亚甲基,进一步优选为亚甲基。
mc优选为0或1,更优选为1。
Rc3优选为H、甲基、或乙基,更优选为H或甲基,进一步优选为H。
pc优选为0、1、2、3、4、或5,更优选为0、1或2,进一步优选为0或1,更进一步优选为0。pc=0时,可以说Rc3实际上为H。为了确保明确性,pc=0时,Rc3≠H也可以。
通过具有单元(c),认为由聚合物(A)形成的膜可以显示优选的吸收参数(k)和折射率(n)。例如,可以认为(k)值和/或(n)值可以被增加。因此,具有单元(c)的聚合物(A)对于抗蚀剂下层膜形成组合物有用,并且对于下层防反射膜形成组合物更有用。另外,对于上层防反射膜形成组合物也是有用的。
Vc优选为蒽衍生物、菲衍生物或氧杂蒽衍生物,更优选为蒽衍生物或菲衍生物,进一步优选为蒽衍生物。
优选,式(c)由以下的式(c-1)或(c-2)表示。
Figure BDA0003217457270000161
式(c-1)中,Rc1、Rc2、Rc3、Lc和mc分别独立地如上述记载所述。
属于Vc的蒽中的1个碳可以被氧取代,更优选不被取代的形式。
Figure BDA0003217457270000171
式(c-2)中,Rc1、Rc2、Rc3、Lc和mc分别独立地为上述记载所述。
菲中的1个碳可以被氧取代,更优选不被取代的形式。
Rc3为C1-5烷基、C1-5烷氧基、或-COOH,pc”为2、3、4、或5时,各Rc3可以相同或不同,也可以是2个Rc3形成饱和环或不饱和环。
式(c-1)的具体例例如以下。
Figure BDA0003217457270000172
式(c-2)的具体例例如以下。
Figure BDA0003217457270000181
上述具体例以外的式(c)的具体例例如以下。
Figure BDA0003217457270000182
单元(d)由式(d)表示。
Figure BDA0003217457270000183
式(d)中,
Rd1和Rd2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,md为0~1,
Ld为单键、C1-5亚烷基(C1-5亚烷基中的1个以上的-CH2-可以被-NH-、-C(=O)-和/或-O-替换,并且C1-5亚烷基中的1个以上的-CH3还可以被-OH、-NH2和/或-COOH替换)、-O-、或C1-5亚烷氧基。
作为Rd1,优选为H、甲基、乙基、叔丁基或甲氧基,更优选为H或甲基,进一步优选为H。
作为Rd2,优选为H、甲基、乙基、叔丁基或甲氧基,更优选为H或甲基,进一步优选为甲基。
Md优选为0或1,更优选为1。
Ld优选为C1-5亚烷基(C1-5亚烷基中的1个以上的-CH2-可以被-C(=O)-替换,并且C1-5亚烷基中的1个以上的-CH3还可以被-OH和/或-COOH替换),更优选为C1-4亚烷基(C1-4亚烷基中的1个以上的-CH2-可以被-C(=O)-替换,并且C1-4亚烷基中的1个以上的-CH3还可以被-OH和/或-COOH替换),进一步优选为C1-4亚烷基(C1-4亚烷基中的1个-CH2-可以被-C(=O)-替换,并且C1-4亚烷基中的1个-CH3还可以被-OH和/或-COOH替换)。
认为通过具有单元(d),由聚合物(A)形成的膜可以显示优选的吸收参数(k)、折射率(n)或快速的蚀刻速率。另外,单元(d)也可以在形成膜时用作交联位置,并且可以有助于膜形成和交联性。因此,具有单元(d)的聚合物A)对于抗蚀剂下层膜形成组合物是有用的,并且对于下层防反射膜形成组合物更有用。另外,对于上层防反射膜形成组合物也是有用的。
式(d)的具体例例如以下。
Figure BDA0003217457270000201
聚合物(A)中,单元(a)、(b)、(c)以及(d)的重复数即分别为na、nb、nc以及nd满足以下式:na+nb>0,nc≥0,以及nd≥0。
na/(na+nb+nc+nd)优选为2~80%,更优选为5~50%,进一步优选为10~50%,更进一步优选为20~40%。
nb/(na+nb+nc+nd)优选为10~90%,更优选为20~80%,进一步优选为30~80%,更进一步优选为25~60%。
nc/(na+nb+nc+nd)优选为3~80%,更优选为5~40%,进一步优选为5~50%,更进一步优选为8~20%。
nd/(na+nb+nc+nd)优选为0~80%,更优选为0~40%,进一步优选为5~30%,更进一步优选为10~30%。
优选的是,它们满足以下的式:
5%≤na/(na+nb+nc+nd)≤50%,30%≤nb/(na+nb+nc+nd)≤80%,5%≤nc/(na+nb+nc+nd)≤40%,和/或0%≤nd/(na+nb+nc+nd)≤40%。
优选的是,聚合物(A)中所含的全部重复单元的总数ntotal满足以下的式:
80%≤(na+nb+nc+nd)/ntotal≤100%。
更优选为,满足以下的式:
90%≤(na+nb+nc+nd)/ntotal≤100%。
进一步优选为,满足以下的式:
95%≤(na+nb+nc+nd)/ntotal≤100%。
(na+nb+nc+nd)/ntotal=100%,即,不含有na、nb、nc、nd以外的重复单元也是本发明优选的一种方式。
聚合物(A)的质均分子量(以下,有时称Mw)优选为1,000~50,000,更优选为3,000~40,000,进一步优选为5,000~20,000。
聚合物(A)的数均分子量(以下,有时称Mn)优选为1,000~50,000,更优选为5,000~10,000。
本发明中,Mw和Mn可以通过凝胶渗透色谱法(gel permeation chromatography,GPC)进行测定。在该测定中,优选的一个例子是,将GPC柱设为摄氏40度,将溶出溶剂四氢呋喃设定为0.6mL/分钟,使用单分散聚苯乙烯作为标准。
根据本发明的聚合物(A)的合成方法没有特别限定,具体例子记载在后述的合成例中。还可以将已知的合成方法组合到合成例中。
<半导体组合物>
这里,在本发明中,半导体组合物是指在半导体制造工序中使用的组合物,更优选地在光刻工序中使用。根据本发明的半导体组合物优选为半导体制造用组合物。根据本发明的半导体组合物也可以通过半导体制造工序除去,最终不残留在半导体中。半导体组合物优选是抗蚀剂下层膜组合物,更优选是下层防反射膜组合物。这里,抗蚀剂下层膜和下层防反射膜形成在基板的上方和抗蚀剂膜的下方。抗蚀剂下层膜或下层防反射膜可以与基板或抗蚀剂膜接触形成,也可以通过中间层形成。
聚合物(A)的含量以组合物的总质量为基准优选为1~30质量%,更优选为1~15质量%。
溶剂(B)
本发明的组合物中所使用的溶剂优选包括水、烃溶剂、醚溶剂、酯溶剂、醇溶剂或酮溶剂。
作为溶剂的具体例,例如可列举水、正戊烷、异戊烷、正己烷、异己烷、正庚烷、异庚烷、2,2,4-三甲基戊烷、正辛烷、异辛烷、环己烷、甲基环己烷、苯、甲苯、二甲苯、乙基苯、三甲基苯、甲基乙基苯、正丙基苯、异丙基苯、二乙苯、异丁苯、三乙苯、二异丙基苯、正戊基萘、三甲苯、甲醇、乙醇、正丙醇、异丙醇、正丁醇、异丁醇、仲丁醇、叔丁醇、正戊醇、异戊醇、2-甲基丁醇、仲戊醇、叔戊醇、3-甲氧基丁醇、正己醇、2-甲基戊醇、仲己醇、2-乙基丁醇、仲庚醇、3-庚醇、正辛醇、2-乙基己醇、仲辛醇、正壬醇,2,6-二甲基庚醇-4、正癸醇、仲十一烷醇、三甲基壬醇、仲十四烷醇、仲十七烷醇、苯酚、环己醇、甲基环己醇、3,3,5-三甲基环己醇、苯甲醇、苯甲基甲醇、双丙酮醇、甲酚、乙二醇、丙二醇、1,3-丁二醇、戊二醇-2,4,2-甲基戊二醇-2,4、己二醇-2,5、庚二醇-2,4,2-乙基己二醇-1,3、二甘醇、二丙二醇、三甘醇、三丙二醇、甘油、丙酮、甲基乙基酮、甲基正丙基酮、甲基正丁基酮、二乙基酮、甲基异丁基酮、甲基正戊酮、乙基正丁基酮、甲基正己基酮、二异丁基酮、三甲基壬酮、环己酮、环戊酮、甲基环己酮、2,4-戊二酮、丙酮基丙酮、双丙酮醇、苯乙酮、葑酮、乙醚、异丙醚,正丁基醚、正己基醚、2-乙基己基醚、环氧乙烷、1,2-环氧丙烷、二氧戊环、4-甲基二氧戊环、二噁烷、二甲基二噁烷、乙二醇单甲醚、乙二醇单乙醚、乙二醇二乙醚、乙二醇单正丁醚、乙二醇单正己醚、乙二醇单苯醚、乙二醇单2-乙基丁醚、乙二醇二丁醚、二甘醇单甲醚、二甘醇单乙醚、二甘醇二乙醚、二甘醇单正丁醚、二甘醇二正丁醚、二甘醇单正己基醚、乙氧基三甘醇、四乙二醇二正丁基醚、丙二醇单甲醚(PGME)、
丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、二丙二醇单甲醚、二丙二醇单乙醚、二丙二醇单丙醚、二丙二醇单丁醚、三丙二醇单甲醚、四氢呋喃、2-甲基四氢呋喃、碳酸二乙酯、乙酸甲酯、乙酸乙酯、γ-丁内酯、γ-戊内酯、乙酸正丙酯、乙酸异丙酯、乙酸正丁酯、乙酸异丁酯、乙酸仲丁酯、乙酸正戊酯、乙酸仲戊酯,乙酸-3-甲氧基丁酯、乙酸甲基戊酯、乙酸-2-乙基丁酯、乙酸-2-乙基己酯、乙酸苄酯、乙酸环己酯、乙酸甲基环己酯、乙酸正壬酯、乙酰乙酸甲酯、乙酰乙酸乙酯、乙二醇乙酸单甲醚、乙二醇乙酸单乙醚、二甘醇乙酸单甲醚、二甘醇乙酸单乙醚、二甘醇乙酸单正丁醚、丙二醇乙酸单甲醚、丙二醇乙酸单乙醚、丙二醇乙酸单丙醚、丙二醇乙酸单丁醚、二丙二醇乙酸单甲醚、二丙二醇乙酸单乙醚、乙二醇二乙酸酯、甲氧基三乙二醇乙酸酯、丙酸乙酯、丙酸正丁酯、丙酸异戊酯、草酸二乙酯、草酸二正丁酯、乳酸甲酯、乳酸乙酯(EL)、γ-丁内酯、乳酸正丁酯、乳酸正戊酯、丙二酸二乙酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯酸、丙二醇1-单甲醚2-乙酸酯(PGMEA)、丙二醇单乙醚乙酸酯、丙二醇单丙醚乙酸酯等酯类溶剂;N-甲基甲酰胺、N,N-二甲基甲酰胺、N,N-二乙基甲酰胺、乙酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基丙酰胺、N-甲基吡咯烷酮、二甲硫醚、二乙硫醚、噻吩、四氢噻吩、二甲亚砜、环丁砜和1,3-丙磺酸内酯。这些溶剂可以单独使用或两种以上混合使用。
作为溶剂(B),更优选的是PGMEA、PGME或它们的混合物。
与其他层和膜相比,溶剂(B)不含水也是一种方式。例如,溶剂(B)整体中的水量优选为0.1质量%以下,更优选为0.01质量%以下,进一步优选为0.001质量%以下。
溶剂(B)的含量以组合物的总质量为基准,优选为50~99质量%,更优选为70~98质量%,进一步优选为80~95质量%。通过增加或减少占组合物整体的溶剂的量,可以控制成膜后的膜厚度。
交联剂(C)
根据本发明的组合物,还可以含有交联剂。需要说明的是,在本发明中,交联剂是指具有交联功能的化合物。虽然有时具有交联功能的化合物溶解或分散于溶剂而包含在组合物中,但优选这种溶剂作为溶剂(B)或其他成分被包含在半导体组合物中。
由于交联剂用于在形成组合物时提高成膜性,消除与形成在其上层的膜(例如抗蚀剂膜)的混合,以及消除小分子组分向上层膜的扩散,因此是有用的。如后述的实施例所述,本发明的聚合物(A)即使不含有交联剂也具有优异的成膜性、包埋性和交联性。此外,可以抑制成膜过程中的升华物质(脱气),这有助于避免装置污染。因此,作为本发明的一种方式,优选添加的交联剂的含量少。因此,交联剂的含量以聚合物(A)的总质量为基准,优选为0~5质量%,更优选为0~1质量%,进一步优选为0质量%。通过在聚合物(A)的结构中引入能够交联的结构,可以降低交联剂的含量。
作为交联剂,可以列举出被选自羟甲基、烷氧基甲基、酰氧基甲基中的至少一个基团取代的三聚氰胺化合物、胍胺化合物、甘脲化合物或脲化合物、环氧化合物、硫代环氧化合物、异氰酸酯化合物、叠氮化合物,含有烯基醚基等双键的化合物。另外,含有羟基的化合物也用作交联剂。
作为环氧化合物,可以列举出三(2,3-环氧丙基)异氰脲酸酯、三羟甲基甲烷三缩水甘油醚、三羟甲基丙烷三缩水甘油醚、三羟乙基乙烷三缩水甘油醚。作为三聚氰胺化合物,可以列举出六羟甲基三聚氰胺、六甲氧基甲基三聚氰胺、六羟甲基三聚氰胺的1至6个羟甲基被甲氧基甲基化的化合物及其混合物、六甲氧基乙基三聚氰胺、六酰氧基甲基三聚氰胺、六羟甲基三聚氰胺的羟甲基中的1至6个被酰氧基甲基化的化合物及其混合物。作为胍胺化合物,可以列举出四羟甲基胍胺、四甲氧基甲基胍胺、四羟甲基胍胺的1至4个羟甲基被甲氧基甲基化的化合物及其混合物、四甲氧基乙基胍胺、四酰氧基胍胺、四羟甲基胍胺的1至4个羟甲基被酰氧基甲基化的化合物及其混合物。作为甘脲化合物,可以列举出四羟甲基甘脲、四甲氧基甘脲、四甲氧基甲基甘脲、四羟甲基甘脲的1至4个羟甲基被甲氧基甲基化的化合物或其混合物、四羟甲基甘脲的1至4个羟甲基被酰氧基甲基化的化合物或其混合物。作为脲化合物,可以列举出四羟甲基脲、四甲氧基甲基脲、四羟甲基脲的1至4个羟甲基被甲氧基甲基化的化合物或其混合物、四甲氧基乙基脲。作为含有烯基醚基的化合物,可以列举出乙二醇二乙烯基醚、三乙二醇二乙烯基醚、1,2-丙二醇二乙烯基醚、1,4-丁二醇二乙烯基醚、四亚甲基二醇二乙烯基醚、新戊二醇二乙烯基醚、三羟甲基丙烷三乙烯基醚、己二醇二乙烯基醚、1,4-环己二醇二乙烯基醚、季戊四醇三乙烯基醚、季戊四醇四乙烯基醚、山梨糖醇四乙烯基醚、山梨糖醇五乙烯基醚、三羟甲基丙烷三乙烯基醚。
其他交联剂的实例包括由下式表示的那些。
Figure BDA0003217457270000251
式中,L3为直接键合的取代或未取代的C1-3烷基,R20为氢或甲基。L3优选为直接键合或甲基,更优选为直接键合。该取代基优选为氢、甲基、C6-10芳基、以下两个式之一,更优选为甲基或以下两个式之一。
Figure BDA0003217457270000252
由上式表示的交联剂的具体例如下,但本发明的范围不限于此。
Figure BDA0003217457270000261
使本组合物成膜时的交联温度优选为50~230℃,更优选为80~220℃,进一步优选为80~190℃。
酸产生剂(D)
本发明的组合物还可以含有酸产生剂。在本发明中,酸产生剂是指具有产酸功能的化合物本身。具有产酸功能的化合物可以溶解或分散在溶剂中而包含在组合物中,并且这种溶剂优选作为溶剂(B)或其他组分包含在半导体组合物中。
酸产生剂(D)的含量以聚合物(A)的总质量为基准优选为0.01~10质量%,更优选为1~7质量%。
作为酸产生剂,可以使用能够通过加热产生强酸的热酸产生剂(TAG)。本发明中使用的酸产生剂优选为通过加热产生能够与根据本发明的聚合物(A)反应并促进聚合物的交联的酸的化合物。在优选的一种方式中,产生的酸是磺酸等强酸。
优选地,酸产生剂在高于80℃的温度下活化。热酸产生剂的例子是不含金属的锍盐和碘鎓盐,例如强非亲核性酸的三芳基锍、二烷基芳基锍和二芳基烷基锍盐,强非亲核性酸的烷基芳基碘鎓、二芳基碘鎓;以及强非亲核性酸的铵、烷基铵、二烷基铵、三烷基铵、四烷基铵盐。另外,共价热酸产生剂也被认为是有用的添加剂,例如烷基或芳基磺酸的2-硝基苄基酯,以及其他热分解生成游离磺酸的磺酸的其它酯。其示例包括二芳基碘鎓全氟烷基磺酸盐、二芳基碘鎓三(氟烷基磺酰基)甲基化物、二芳基碘鎓双(氟烷基磺酰基)甲基化物、二芳基碘鎓双(氟烷基磺酰基)亚胺、二芳基碘鎓季铵全氟烷基磺酸盐。不稳定酯的示例是2-硝基苄基甲苯磺酸酯、2,4-二硝基苄基甲苯磺酸酯、2,6-二硝基苄基甲苯磺酸酯、4-硝基苄基甲苯磺酸酯;2-三氟甲基-6-硝基苄基4-氯苯磺酸盐、2-三氟甲基-6-硝基苄基4-硝基苯磺酸盐等苯磺酸盐;苯基4-甲氧基苯磺酸盐等苯酚磺酸酯;三(氟烷基磺酰基)甲基季铵盐和双(氟烷基磺酰基)亚胺季铵盐、有机酸的烷基铵盐,例如10-樟脑磺酸的三乙基铵盐。各种芳族(蒽、萘或苯衍生物)磺酸胺盐如在美国专利第3474054号、第4200729号、第4251665号和第5187019号中公开的那些,也可用作TAG。本发明中使用的酸产生剂可以是两种以上的化合物。
表面活性剂(E)
本发明的组合物可以进一步含有表面活性剂以改善涂布性。这里,在本发明中,表面活性剂是指具有表面活性作用的化合物本身。具有表面活性作用的化合物可以溶解或分散在溶剂中而包含在组合物中,并且这种溶剂优选作为溶剂(B)或其他组分包含在半导体组合物中。
该表面活性剂(E)的含量以聚合物(A)的总质量为基准优选为0.001~5质量%,更优选为0.01~0.5质量%。
作为可用于本发明的表面活性剂(E),可以列举出(I)阴离子表面活性剂、(II)阳离子表面活性剂或(III)非离子表面活性剂,具体而言,优选为(I)烷基磺酸盐、烷基苯磺酸和烷基苯磺酸盐,(II)月桂基氯化吡啶鎓和月桂基甲基氯化铵,和(III)聚氧乙烯辛基醚、聚氧乙烯月桂基醚和聚氧乙烯炔二醇醚。
添加剂(F)
根据本发明的组合物可以包含除(A)至(E)之外的其他添加剂(F)。
对添加剂(F)没有特别限制,但优选地,添加剂选自碱产生剂、平滑剂、单体染料、低级醇(C1-6醇)、表面流平剂、基材粘附剂、消泡剂和防腐剂。添加剂是指具有某种功能的化合物本身,例如在碱产生剂的情况下,是指产生碱的化合物本身。该化合物可以溶解或分散在溶剂中而包含在组合物中,并且这种溶剂优选作为溶剂(B)或其他组分包含在半导体组合物中。
添加剂(F)的含量以聚合物(A)的总质量为基准优选为0~10质量%,更优选为0~5质量%。无添加剂(F)(0质量%)也是根据本发明的组合物的一个合适的实例。
<膜的制造方法>
根据本发明的膜的制造方法包括下述工序:
(1)在基板的上方应用本发明的半导体组合物;以及
(2)加热前述半导体组合物,形成膜。
这里,根据本发明的膜是指源自本发明的半导体组合物的膜,以下称为(2)的膜。(2)的膜优选为抗蚀剂下层膜,更优选为下层抗反射膜。
以下,对根据本发明的制造方法的一种方式进行说明。
将根据本发明的半导体组合物通过适当的方法涂覆在基板(例如,硅/二氧化硅涂覆基板、氮化硅基板、硅晶片基板、玻璃基板和ITO基板等)的上方。这里,在本发明中,上方包括直接形成在上方的情况和隔着另一层形成的情况。例如,可以直接在基材上方形成平坦化膜,并将根据本发明的组合物直接涂覆在该平坦化膜上方。涂覆方法没有特别限制,例如可以列举通过旋转器和涂覆机的涂覆方法。涂覆后,通过加热形成本发明的膜。(2)的加热例如通过热板进行。加热温度优选为100~250℃,更优选为125~225℃,进一步更优选为150~200℃。这里的温度是加热气氛,例如热板的加热表面温度。加热时间优选为30~300秒,更优选为45~180秒。加热优选在空气或氮气气氛中进行。通过该加热,在组合物中进行交联反应。因此,(2)的膜在后续步骤中不易溶解。
(2)的膜的膜厚度优选为10~1000nm,更优选为30~500nm,进一步优选为50~100nm。
(2)的膜的吸收参数(k)是由偏振分析测定测得的并且根据曝光波长具有0.01至1.0的数值。折射率(n)也被优化并且根据曝光波长可以是1.3至2.0、优选1.5至1.9的值。n和k的值可以使用J.A.Woollam WVASE VU-32(商标)椭圆偏振计等椭圆偏振仪进行计算。k和n的最佳范围的准确值取决于用于曝光涂覆在(2)的膜上的抗蚀剂膜的曝光波长和涂覆方法。
通过进一步包括以下步骤的方法可以制造抗蚀剂膜:
(3)在(2)的膜上方涂覆抗蚀剂组合物;和
(4)加热抗蚀剂组合物以形成抗蚀剂膜。
为清楚起见,在步骤(3)之前进行步骤(1)和(2)。括号中表示步骤的数字表示顺序。这同样适用于下文。
通过适当的方法将抗蚀剂组合物涂覆在(2)的膜上方。涂覆方法没有特别限制,但可以与上述涂覆相同。
对抗蚀剂组合物没有特别限制,只要对图案形成用的曝光光敏感即可,可以使用任何正型抗蚀剂组合物、负型抗蚀剂组合物或负色调显影(NTD)抗蚀剂组合物。本发明中使用的抗蚀剂组合物优选为正型抗蚀剂组合物或负型抗蚀剂组合物,更优选为化学增幅型正型抗蚀剂组合物或化学增幅型负型抗蚀剂组合物。此外,抗蚀剂组合物优选含有酸产生剂或碱产生剂。
涂覆后,通过加热形成抗蚀剂膜。(4)的加热温度优选为80~250℃,更优选为80~200℃,进一步优选为90~180℃。加热时间优选为30~600秒,更优选为30~300秒,进一步优选为60~180秒。加热优选在空气或氮气气氛中进行。
抗蚀剂膜的膜厚度根据曝光波长而不同,但优选为100~50000nm。使用KrF准分子激光进行曝光时,抗蚀剂膜的膜厚度优选为100~5000nm,更优选为100~1000nm,进一步优选为400~600nm。
通过进一步包括以下步骤的方法可以制造抗蚀剂图案:
(5)使抗蚀剂膜曝光;和
(6)使抗蚀剂膜显影。
通过预定掩模对抗蚀剂膜进行曝光。用于曝光的光的波长没有特别限制,但优选用波长为13.5~248nm的光进行曝光。具体而言,可以使用KrF准分子激光(波长248nm)、ArF准分子激光(波长193nm)、极紫外线(波长13.5nm)等,优选KrF准分子激光。这些波长的允许范围为±1%。曝光后,如有必要,可以进行曝光后加热(post exposure bake)。曝光后加热的温度优选为80~150℃,更优选为100~140℃,加热时间为0.3~5分钟,优选为0.5~2分钟。
曝光的抗蚀剂膜用显影剂显影。使用的显影剂优选为2.38质量%的氢氧化四甲基铵(TMAH)的水溶液。显影剂的温度优选为5~50℃,更优选为25~40℃,显影时间优选为10~300秒,更优选为30~60秒。
通过使用这样的显影剂,可以在室温下容易地溶解和去除抗蚀剂膜和/或(2)的膜。此外,例如,可以将表面活性剂添加到这些显影剂中。
当使用正性抗蚀剂组合物时,通过显影去除曝光部分中的正型光致抗蚀剂层以形成抗蚀剂图案。还可以通过使用例如收缩材料使该抗蚀剂图案进一步小型化。
通过进一步包括以下步骤的方法可以制造加工基板:
(7)以抗蚀剂图案作为掩模进行蚀刻
使用抗蚀剂图案作为掩模,优选蚀刻(2)的膜或基板。此时,可以通过对(2)的膜和基板进行一次刻蚀来加工基板,也可以在对(2)的膜刻蚀后以其作为掩膜对基板进行刻蚀,来进行分阶段加工。蚀刻可以是干蚀刻或湿蚀刻中的一种。这允许在基板或基板上方的层中形成间隙。在形成间隙后,可以通过与水、水溶性有机溶剂和水的混合物或碱性水溶液接触来去除抗蚀剂图案。此外,可以利用形成的间隙形成布线。也可以通过蚀刻以外的方法加工基板。
然后,根据需要,进一步加工基板以形成器件。已知的方法可以应用于这些进一步的加工。在形成器件后,根据需要,将基板切割成晶片,连接到引线框架,并用树脂封装。在本发明中,将该封装器件称为器件。
实施例
下面将通过各种示例描述本发明。本发明的方面不限于这些示例。
聚合物1的合成
在三口烧瓶中加入350g PGMEA,再加入下述的MOI-BP(Karenzu MOI-BP、昭和电工)32.79g、McHN(川崎化成工业)20g、DYE-M9A(大阪新药)6.422g、HPMA(Light Ester HOP,共荣社化学)8.16g。它们分别对应于单元(a)、单元(b)、单元(c)和单元(d)。
Figure BDA0003217457270000311
此外,添加V-601/油溶性偶氮聚合引发剂(富士胶片和光纯药)。向三颈烧瓶中充入氮气,盖上盖子,边搅拌边加热,再80℃下反应4小时。此外,加入3g PGME并搅拌以完成聚合。使反应溶液回到室温。将反应溶液滴加到1500g己烷中以沉淀聚合物。将其过滤并在减压下干燥以获得聚合物1。
Figure BDA0003217457270000312
通过GPC(洗脱溶剂:四氢呋喃)对聚合物1(摩尔比,na:nb:nc:nd=26:49:14:11)进行测定,聚合物1的Mn为9900,Mw为22200。
聚合物2~11和比较聚合物1和2的合成
除了单元(a)、单元(b)、单元(c)和单元(d)的摩尔比按表1所示进行变更之外,以与上述聚合物1的合成例相同的方式进行合成,得到聚合物2~11和比较聚合物1和2。
可以目视确认比较聚合物1和2发生胶凝化。比较聚合物1和2不用于随后的评价,因为它们被判断为不适合精细加工。
表1显示了使用GPC(洗脱溶剂:四氢呋喃)对每种聚合物的Mn和Mw进行测量的结果。
表1
单元(a) 单元(b) 单元(c) 单元(d) Mn/Mw
聚合物1 26 49 14 11 9900/22200
聚合物2 49 40 11 0 9800/39000
聚合物3 26 49 12 13 8600/20200
聚合物4 25 49 14 12 7800/19600
聚合物5 18 49 14 19 9200/20000
聚合物6 11 49 14 26 11300/25100
聚合物7 18 59 12 11 8400/19900
聚合物8 44 29 8 19 10100/22000
聚合物9 33 49 8 30 10100/22000
聚合物10 0 55 15 30 4200/17800
聚合物11 0 15 5 80 5800/19400
比较聚合物1 0 0 50 50 凝胶化
比较聚合物2 0 0 33 67 凝胶化
组合物1的制备
将表面活性剂(MEGAFACE R-40,DIC)溶解在PGMEA中,使其达到5质量%。这被称为5质量%的表面活性剂溶液。
混合68.4g PGMEA和29.4g PGME作为溶剂。向其中添加0.04g樟脑磺酸(富士胶片和光纯药)和0.018g三乙胺(关东化学)。这些用作热酸产生剂。
Figure BDA0003217457270000331
向其中加入2g聚合物1和0.048g 5质量%的表面活性剂溶液,并将混合物在室温下搅拌混合1小时。由此,获得组合物1。
当目视确认组合物1时,可以确认溶质溶解。
组合物2~11的制备
除所用聚合物如表2所示进行变更之外,按照与上述组合物1的制备相同的方式进行制备,以获得组合物2~11。
通过目视检查各组合物,可以确认溶质溶解。
组合物12的制备
将表面活性剂(MEGAFACE R-40)溶解在PGMEA中,使其达到5质量%。这被称为5质量%的表面活性剂溶液。
混合68.4g PGMEA和29.4g PGME作为溶剂。向其中加入0.04g樟脑磺酸和0.018g三乙胺。这些用作热酸产生剂。
向其中加入2g聚合物1、0.6g下述交联剂Nicarac MX-270(三和化学)和0.048g 5质量%的表面活性剂溶液,并在室温下搅拌混合1小时。由此,获得组合物12。
Figure BDA0003217457270000332
当目视确认组合物12时,可以确认溶质溶解。
组合物13和14的制备
除所用聚合物如表2所示进行变更之外,按照与上述组合物12的制备相同的方式进行制备,以获得组合物13和14。
通过目视检查各组合物,可以确认溶质溶解。
比较组合物1的制备
将下述P090D聚合物溶解在PGMEA中以达到17质量%。这被称为P090D聚合物溶液。
将表面活性剂(MEGAFACE R-40)溶解在PGMEA中使其达到5质量%。这称为5质量%的表面活性剂溶液。
混合68.4g PGMEA和29.4g PGME作为溶剂。向其中加入0.04g樟脑磺酸和0.018g三乙胺。这些用作热酸产生剂。香气中加入3.2g下述VPS-2515(聚(羟基苯乙烯/苯乙烯)共聚物)、4.6g P090D聚合物溶液、0.6g交联剂(TMOM-BP)、0.048g 5质量%的表面活性剂溶液,在室温下搅拌混合1小时。由此,获得比较组合物1。下文中,当VPS-2515和P090D为聚合物组分时,为简洁起见,它们将被称为VPS+P090D。
当目视确认比较组合物1时,可以确认溶质溶解。
Figure BDA0003217457270000341
(P090D聚合物,东邦化学,a:b:c:d=35.7:35.7:17.9:10.7。分子量4000~8000)
Figure BDA0003217457270000342
(VPS-2515,日本苏打有限公司,分子量3300~4300)
Figure BDA0003217457270000351
(TMOM-BP,本州化学工业)
比较组合物2的制备
将P090D聚合物溶解在PGMEA中,使其达到17质量%。这被称为P090D聚合物溶液。
将表面活性剂(MEGAFACE R-40)溶解在PGMEA中,使其达到5质量%。这被称为5质量%的表面活性剂溶液。
混合68.4g PGMEA和29.4g PGME作为溶剂。向其中加入0.04g樟脑磺酸和0.018g三乙胺。这些被用作热酸产生剂。
向其中加入3.2g聚(羟基苯乙烯/苯乙烯)共聚物(VPS-2515)、4.6g P090D聚合物溶液、0.048g 5质量%的表面活性剂溶液,室温搅拌混合1小时。由此,获得比较组合物2。
当目视确认比较组合物2时,可以确认溶质溶解。
膜形成的评价
使用涂覆/显影剂Mark8(东京电子株式会社)将各组合物旋涂在Si裸晶片上。晶片在空气中在180℃下烘烤60秒以形成膜。
通过该步骤,如果由该组合物形成膜,则膜形成评价为A,如果未形成膜,则膜形成评价为B。评价结果如表2所示。
通过Lambda Ace VM-1210(SCREEN)测量出该膜的膜厚度为45nm。
均匀性评价
评价通过上述膜形成而形成的膜的均匀性。评价基准如下。评价结果如表2所示。
A:目视确认,均匀涂覆。
B:目视确认,确认斑点的存在。
当在直线上的21个点测量通过使用组合物1形成的膜的膜厚度时,最大值和最小值之间的差为2nm以下。
表2
聚合物(A) 交联剂 膜形成 均匀性
组合物1 聚合物1 A A
组合物2 聚合物2 A A
组合物3 聚合物3 A A
组合物4 聚合物4 A A
组合物5 聚合物5 A A
组合物6 聚合物6 A A
组合物7 聚合物7 A A
组合物8 聚合物8 A A
组合物9 聚合物9 A A
组合物10 聚合物10 A A
组合物11 聚合物11 A A
组合物12 聚合物1 A A
组合物13 聚合物10 A A
组合物14 聚合物11 A A
比较组合物1 VPS+P090D A A
比较组合物2 VPS+P090D A A
光学常数的评价
评价通过上述膜形成而形成的膜的光学常数。用椭偏仪M2000-D(J.A.Woolam),在248nm波长处测量反射光。由此,获得光学常数n值和k值。评价结果如表3所示。
可以确认由组合物1形成的膜作为抗反射膜具有足够的性能。
填充性的评价
将各组合物旋涂在硅阶梯式基板上(线路与间隔的比例(line-to-space ratio)1:2,间距宽度48nm(L16 nm/S32 nm),深度100nm),并通过SEM确认基板截面以评价填充性。评价标准如下。评价结果如表3所示。
A:在SEM照片中,在填充组合物的阶梯中确认没有空隙。
B:在SEM照片中,在填充组合物的阶梯的一部分中存在空隙。
交联性评价
通过上述膜形成而形成的膜的膜厚度被定义为初始膜厚度。将晶片在PGMEA/PGME溶液(AZ EBR7030,Merck Performance Materials,以下简称MPM)中浸泡60秒,然后旋干,再次测量膜厚度。如果与初始膜厚度相比膜厚度的减少小于2nm,则评价为足够交联。当足够交联时,使用于膜形成的烘烤温度按170℃、160℃和150℃的顺序降低,直到膜厚度的减少幅度为2nm以上(交联不足)时,进行同样的实验和评价。由此确认交联性。将交联不足之前的烘烤温度条件(足够交联的条件)列于表中。
如果是由于PGMEA/PGME溶液而在10nm以上溶解的情况,则评价为B。
评价结果如表3所示。
抗蚀图案的形成
将抗蚀剂组合物(AZ DX3200P,MPM)旋涂在通过上述膜形成而形成的膜上,并在90℃下烘烤60秒。由此,形成膜厚度为580nm的抗蚀剂膜。
将该晶片在PFA-3000EX-5(佳能)下曝光,波长为248nm,掩模尺寸=200nm,线路:间隔=1:1。曝光后的晶片在120℃下曝光90秒,然后烘烤处理,并用TMAH 2.38质量%水溶液显影60秒。用水冲洗并旋干。由此,形成抗蚀剂图案。
驻波评价
用扫描电子显微镜观察如上形成的抗蚀剂图案,并评价驻波的状态。评价基准如下。评价结果如表3所示。
A:驻波减少,抗蚀剂壁面几乎没有波纹的状态。
B:驻波减少,但抗蚀剂壁面略有波纹的状态。
C:未表现出驻波的减少。
表3
聚合物(A) 交联剂 n值 k值 填充性 交联性 驻波
组合物1 聚合物1 1.8 0.32 A 150℃ A
组合物2 聚合物2 1.79 0.31 A 160℃ A
组合物3 聚合物3 1.83 0.28 A 150℃ A
组合物4 聚合物4 1.8 0.31 A 150℃ A
组合物5 聚合物5 1.8 0.32 A 150℃ A
组合物6 聚合物6 1.8 0.32 A 150℃ A
组合物7 聚合物7 1.85 0.3 A 150℃ A
组合物8 聚合物8 1.81 0.31 A 150℃ A
组合物9 聚合物9 1.81 0.31 A 150℃ A
组合物10 聚合物10 1.83 0.36 A B B
组合物11 聚合物11 1.64 0.14 A B B
组合物12 聚合物1 1.81 0.31 A 150℃ A
组合物13 聚合物10 1.83 0.36 A 150℃ A
组合物14 聚合物11 1.64 0.14 A 150℃ A
比较组合物1 VPS+P090D 1.8 0.01 A B B
比较组合物2 VPS+P090D 1.8 0.01 A 180℃ A
升华物的评价
根据国际公开2007/111147(专利文献3)中描述的使用QCM传感器测量热固化膜中升华物的方法进行试验和评价。
使用旋涂机以2500rpm的速度在60秒期间将组合物1涂覆到直径为4英寸的硅晶片基板上。测量出膜厚度为78nm。
将上述晶片置于集成了调节至205℃的热板的升华物测定装置中,烘烤60秒,并使用QCM传感器(TH-Q100和传感器SEN-9E-H10,多摩装置)收集升华物并定量。
测量时,将热板的温度升至180℃,将泵流量设置为1m3/s,并在最初的60秒期间使其老化。紧接着,将涂有薄膜的晶片立即从滑动口放置在热板上(安装测量对象),从60秒的时间点到120秒的时间点(60秒)进行升化物的收集。
在连接QCM传感器和收集漏斗部分的流量附件(检测部分)上安装了口径为2mm的喷嘴,传感器与喷嘴之间的距离保持在0.5mm。另外,QCM传感器使用由含硅和铝的化合物制成的材料的电极,并使用晶体振荡器直径(传感器直径)为14mm,晶体振荡器表面电极直径为5mm,谐振频率为9MHz的传感器。
评价标准如下。
A:升华量<0.1ng
B:升华量≧0.1ng,≦0.5ng
C:升华量>0.5ng
对表4中所示的各组成进行与上述相同的试验和评价。结果如表4所示。
表4
聚合物(A) 交联剂 升化物
组合物1 聚合物1 A
组合物2 聚合物2 A
组合物3 聚合物3 A
组合物4 聚合物4 A
组合物5 聚合物5 A
组合物6 聚合物6 A
组合物7 聚合物7 A
组合物8 聚合物8 A
组合物9 聚合物9 A
组合物10 聚合物10 B
组合物11 聚合物11 B
组合物12 聚合物10 C
组合物13 聚合物11 C
比较组合物1 VPS+P090D A
比较组合物2 VPS+P090D B
确认当由聚合物1至9组成的组合物1至9形成膜时,升华物的产生很少。通过使用这些组合物,可以防止设备的污染,这在制造过程中被认为是有利的。

Claims (15)

1.一种聚合物(A),包含从以下单元(a)、单元(b)、单元(c)和单元(d)组成的组中选择的至少一个结构单元:
式(a)所表示的单元(a):
Figure FDA0003217457260000011
(式(a)中,Ra1和Ra2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,
La为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
ma为0~1,
Xa1为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中的1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),
Ya为N或O,其中,Ya为N时pa为1或2的整数,Ya为O时pa为1,
Xa2为H、或C1-5烃基(C1-5烃基中的1个以上的-CH2-可以分别独立地被-NH-、-C(=O)-和/或-O-替换,且C1-5烃基中的1个以上的-CH3也可以分别独立地被-NH2和/或-COOH替换),pa为2时,2个Xa2可以相同也可以不同,
其中,还可以是Xa1与Xa2键合,和/或pa为2时2个Xa2键合,形成饱和环或不饱和环);
式(b)所表示的单元(b):
Figure FDA0003217457260000021
(式(b)中,
Rb1和Rb2分别独立地为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,
mb为0~1,
Lb为单键、C1-5亚烷基、C6-20亚芳基、-O-、或C1-5亚烷氧基,
Zb为C6-20芳香族烃或C7-20芳香族取代脂肪族烃,
pb为0~4,
qb为1~3,
Rb3为H、C1-5烷基、C1-5烷氧基、C1-5烷氧基烷基、或-COOH,pb>1时,各个Rb3可以相同也可以不同,
Rb4为H或甲基,qb>1时,各Rb4可以相同也可以不同,
其中,单元(b)包含含有至少1个缩合结构的芳基);
式(c)所表示的单元(c):
Figure FDA0003217457260000022
(式(c)中,
Rc1和Rc2分别独立地为H、C1-5烷基、C1-5烷氧基、或-COOH,
mc为0~1,
Lc为单键、C1-5亚烷基、-O-、或C1-5亚烷氧基,
Vc为缩合芳香族烃或缩合杂环,Vc为3~6个环缩合而成,
pc为0~5,
Rc3为C1-5烷基、C1-5烷氧基、或-COOH,pc>1时,各Rc3可以相同也可以不同);以及
下述式(d)所表示的单元(d):
Figure FDA0003217457260000031
(式(d)中,
Rd1和Rd2分别独立地为H、C1-5烷基、C1-5烷氧基或-COOH,
md为0~1,
Ld为单键、C1-5亚烷基(C1-5亚烷基中的1个以上的-CH2-可以被-NH-、-C(=O)-和/或-O-替换,且C1-5亚烷基中的1个以上的-CH3可以被-OH、-NH2和/或-COOH替换)、-O-、或C1-5亚烷氧基)聚合物(A),
单元(a)、(b)、(c)以及(d)的重复数分别为na、nb、nc以及nd,其满足以下的式:
na+nb>0,nc≥0,以及nd≥0,优选地,na>0。
2.根据权利要求1所述的聚合物(A),其中,满足以下的式:
5%≤na/(na+nb+nc+nd)≤50%,30%≤nb/(na+nb+nc+nd)≤80%,5%≤nc/(na+nb+nc+nd)≤40%,和/或0%≤nd/(na+nb+nc+nd)≤40%。
3.根据权利要求1或2所述的聚合物(A),其中,聚合物(A)中所含的全部重复单元的总数ntotal满足以下的式:
80%≤(na+nb+nc+nd)/ntotal≤100%。
4.一种半导体组合物,含有根据权利要求1至3中任一项所述的聚合物(A),以及溶剂(B),优选溶剂(B)含有水、烃溶剂、醚溶剂、酯溶剂、醇溶剂或酮溶剂。
5.根据权利要求4所述的组合物,还包含交联剂(C),优选地,以半导体组合物中聚合物(A)的总质量为基准,交联剂(C)的含量为0~5质量%。
6.根据权利要求4或5所述的组合物,还含有酸产生剂(D),优选地,以半导体组合物中聚合物(A)的总质量为基准,酸产生剂(D)的含量为0.01~10质量%,优选地还含有表面活性剂(E),以半导体组合物中聚合物(A)的总质量为基准,表面活性剂(E)的含量为0.001~5质量%。
7.根据权利要求4至6中任一项所述的组合物,还含有其他的添加剂(F),优选地,添加剂(F)选自碱产生剂、平滑剂、单体染料、低级醇(C1-6醇)、表面流平剂、基材粘附剂、消泡剂和防腐剂,优选地,以半导体组合物中聚合物(A)的总质量为基准,添加剂(F)的含量为0~10质量%。
8.根据权利要求4至7中任一项所述的组合物,其中,以半导体组合物的总质量为基准,聚合物(A)的含量为1~30质量%,优选地,溶剂(B)的含量为50~99质量%。
9.根据权利要求4至8中任一项所述的组合物,其中,聚合物(A)的质均分子量为1,000~50,000。
10.由根据权利要求4至9中任一项所述的组合物形成的抗蚀剂下层膜,优选为下层抗反射膜。
11.一种膜的制造方法,包括下述工序:
(1)在基板的上方应用的根据权利要求4至10中任一项所述的半导体组合物;以及
(2)加热前述半导体组合物,形成膜,
优选地,前述(2)的加热在100~250℃和/或30~300秒期间进行,
优选地,前述(2)的加热在空气气氛或氮气气氛中进行,
优选地,形成的膜的厚度为10~1,000nm。
12.一种抗蚀剂膜的制造方法,包括下述工序:
根据权利要求11所述的方法制造膜;
(3)在根据权利要求11所述的膜的上方应用抗蚀剂组合物;以及
(4)加热前述抗蚀剂组合物,形成抗蚀剂膜,
优选地,前述(4)的加热在80~250℃和/或30~600秒期间进行,
优选地,前述(4)的加热在空气气氛或氮气气氛中进行,
优选地,形成的抗蚀剂膜的厚度为100~50,000nm。
13.一种抗蚀剂图案的制造方法,包括下述工序:
根据权利要求12所述的方法制造抗蚀剂膜;
(5)对前述抗蚀剂膜进行曝光;以及
(6)使所述抗蚀剂膜显影,
优选地,前述(5)的曝光使用248nm±1%或193nm±1%的光源,
优选地,前述抗蚀剂组合物是化学增幅型抗蚀剂组合物,
优选地,前述抗蚀剂组合物是正型抗蚀剂组合物或负型抗蚀剂组合物,
优选地,前述抗蚀剂组合物包含酸产生剂或碱产生剂。
14.一种加工基板的制造方法,包括下述工序:
根据权利要求12所述的方法制造抗蚀剂图案;以及
(7)以抗蚀剂图案作为掩膜进行蚀刻,
优选地,前述(7)为对前述(2)的膜或基板进行蚀刻。
15.一种器件的制造方法,包括根据权利要求11至14中任一项所述的方法。
CN202080015180.4A 2019-02-19 2020-02-17 聚合物、含有聚合物而成的半导体组合物、以及使用了半导体组合物的膜的制造方法 Pending CN113454131A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2019027296A JP2020132749A (ja) 2019-02-19 2019-02-19 ポリマー、ポリマーを含んでなる半導体組成物、および半導体組成物を用いた膜の製造方法
JP2019-027296 2019-02-19
PCT/EP2020/054001 WO2020169493A1 (en) 2019-02-19 2020-02-17 Polymer, semiconductor composition comprising polymer, and method for manufacturing film using semiconductor composition

Publications (1)

Publication Number Publication Date
CN113454131A true CN113454131A (zh) 2021-09-28

Family

ID=69593696

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080015180.4A Pending CN113454131A (zh) 2019-02-19 2020-02-17 聚合物、含有聚合物而成的半导体组合物、以及使用了半导体组合物的膜的制造方法

Country Status (7)

Country Link
US (1) US20220119568A1 (zh)
EP (1) EP3927756A1 (zh)
JP (2) JP2020132749A (zh)
KR (1) KR20210132118A (zh)
CN (1) CN113454131A (zh)
SG (1) SG11202106865SA (zh)
WO (1) WO2020169493A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022253787A1 (en) * 2021-06-02 2022-12-08 Merck Patent Gmbh Method for using composition comprising organic acid compound, lithography composition comprising organic acid compound, and method for manufacturing resist pattern
WO2023248569A1 (ja) * 2022-06-22 2023-12-28 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
WO2024017921A1 (en) * 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102016719A (zh) * 2008-05-06 2011-04-13 Az电子材料美国公司 抗反射涂料组合物
US20160349617A1 (en) * 2015-05-29 2016-12-01 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
WO2018056281A1 (ja) * 2016-09-21 2018-03-29 日産化学工業株式会社 硬化膜形成組成物
CN108351593A (zh) * 2015-11-17 2018-07-31 日产化学工业株式会社 抗蚀剂下层膜形成用组合物用添加剂及包含该添加剂的抗蚀剂下层膜形成用组合物
KR20180133230A (ko) * 2017-06-05 2018-12-13 주식회사 동진쎄미켐 높은 식각비를 갖는 유기 반사 방지막 형성용 조성물
CN109564388A (zh) * 2016-08-09 2019-04-02 Az电子材料(卢森堡)有限公司 下层抗反射膜形成用组合物

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
PL1818119T3 (pl) * 2004-08-31 2019-03-29 Aoki Science Institute Co., Ltd. Środek antyadhezyjny do odlewania ciśnieniowego, sposób ustalania proporcji mieszania rozpuszczalnika i sposób odlewania
WO2007111147A1 (ja) 2006-03-27 2007-10-04 Nissan Chemical Industries, Ltd. Qcmセンサーを用いる熱硬化膜中の昇華物の測定方法
JP4900603B2 (ja) 2006-10-24 2012-03-21 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP2008203737A (ja) * 2007-02-22 2008-09-04 Tokyo Ohka Kogyo Co Ltd ポジ型レジスト組成物およびレジストパターン形成方法
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US8507192B2 (en) 2010-02-18 2013-08-13 Az Electronic Materials Usa Corp. Antireflective compositions and methods of using same
JP5445430B2 (ja) * 2010-11-15 2014-03-19 信越化学工業株式会社 パターン形成方法
WO2012081619A1 (ja) * 2010-12-17 2012-06-21 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
WO2013005619A1 (ja) * 2011-07-07 2013-01-10 日産化学工業株式会社 樹脂組成物
WO2013035569A1 (ja) * 2011-09-05 2013-03-14 日産化学工業株式会社 樹脂組成物
KR101590805B1 (ko) * 2012-08-16 2016-02-02 제일모직주식회사 열산발생제 결합 모노머, 상기 열산발생제 결합 모노머로부터 얻어진 중합체, 상기 중합체를 포함하는 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
US8900797B2 (en) * 2012-09-26 2014-12-02 Az Electronic Materials (Luxembourg) S.A.R.L. Developable bottom anti-reflective coating
JP6075724B2 (ja) 2012-10-01 2017-02-08 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 微細レジストパターン形成用組成物およびそれを用いたパターン形成方法
CN104870499B (zh) * 2012-12-14 2016-11-23 昭和电工株式会社 共聚物、含有该共聚物的感光性树脂组合物及树脂膜
KR20140085123A (ko) * 2012-12-27 2014-07-07 제일모직주식회사 시아누릭산 유도체, 상기 시아누릭산 유도체를 포함하는 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
WO2016098578A1 (ja) * 2014-12-15 2016-06-23 関西ペイント株式会社 顔料分散樹脂及び顔料分散ペースト
JP6944128B2 (ja) * 2015-10-27 2021-10-06 日産化学株式会社 重合体及びそれを含む樹脂組成物
JP6356164B2 (ja) * 2016-01-15 2018-07-11 関西ペイント株式会社 リチウムイオン電池正極用導電ペースト及びリチウムイオン電池正極用合材ペースト
US10669376B2 (en) 2016-03-31 2020-06-02 Nissan Chemical Corporation Cured film-forming composition
CN114690557A (zh) * 2020-12-31 2022-07-01 罗门哈斯电子材料有限责任公司 光致抗蚀剂组合物及图案形成方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102016719A (zh) * 2008-05-06 2011-04-13 Az电子材料美国公司 抗反射涂料组合物
US20160349617A1 (en) * 2015-05-29 2016-12-01 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
CN108351593A (zh) * 2015-11-17 2018-07-31 日产化学工业株式会社 抗蚀剂下层膜形成用组合物用添加剂及包含该添加剂的抗蚀剂下层膜形成用组合物
CN109564388A (zh) * 2016-08-09 2019-04-02 Az电子材料(卢森堡)有限公司 下层抗反射膜形成用组合物
WO2018056281A1 (ja) * 2016-09-21 2018-03-29 日産化学工業株式会社 硬化膜形成組成物
KR20180133230A (ko) * 2017-06-05 2018-12-13 주식회사 동진쎄미켐 높은 식각비를 갖는 유기 반사 방지막 형성용 조성물

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
FRANCIS HOULIHAN等: "Implant resist approaches for 193nm second generarion radiation sensitive developable bottom anti reflective coatings", 《J.PHOTOPOLYM.SCI.TECHNOL.》 *

Also Published As

Publication number Publication date
WO2020169493A1 (en) 2020-08-27
KR20210132118A (ko) 2021-11-03
SG11202106865SA (en) 2021-09-29
JP2020132749A (ja) 2020-08-31
JP7423627B2 (ja) 2024-01-29
US20220119568A1 (en) 2022-04-21
EP3927756A1 (en) 2021-12-29
JP2022522085A (ja) 2022-04-14
TW202035482A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
EP3039484B1 (en) Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
CN110609445B (zh) 多金属氧酸盐和杂多金属氧酸盐组合物及其使用方法
KR101579266B1 (ko) 우레아기를 가지는 실리콘 함유 레지스트 하층막 형성 조성물
TWI633156B (zh) 含添加劑之含有矽的euv阻劑底層膜形成組成物
TWI674429B (zh) 形成光微影圖案之方法
CN110088072B (zh) 新型化合物、半导体材料、以及使用了其的膜以及半导体的制造方法
CN113454131A (zh) 聚合物、含有聚合物而成的半导体组合物、以及使用了半导体组合物的膜的制造方法
US20100316949A1 (en) Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
JP2018507933A (ja) ハードマスク組成物および半導体基板上での微細パターンの形成方法
EP3497520B1 (en) Bottom antireflective coating forming composition
US20230314943A1 (en) Chemically amplified resist composition and method for manufacturing resist film using the same
KR20230044475A (ko) 카르복실산 에스테르를 포함하는 조성물의 사용 방법, 카르복실산 에스테르를 포함하는 리소그래피 조성물, 및 레지스트 패턴의 제조 방법
JP7189217B2 (ja) アルカリ可溶性樹脂および架橋剤を含んでなるネガ型リフトオフレジスト組成物、並びに基板上に金属膜パターンを製造する方法
JP2018091943A (ja) 平坦化膜形成組成物、これを用いた平坦化膜およびデバイスの製造方法
KR100865684B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물, 패턴화된 재료형상의 제조방법 및 그 제조방법으로 제조되는 반도체집적회로 디바이스
TWI838462B (zh) 聚合物、包含聚合物而成之半導體組成物、及使用半導體組成物之膜的製造方法
KR101812578B1 (ko) 신규한 중합체를 포함하는 수지 조성물 및 이를 이용한 유기막
JP2018092130A (ja) 炭素含有下層膜形成組成物、これを用いた炭素含有下層膜およびデバイスの製造方法
KR20230028721A (ko) 디아릴메탄 유도체를 이용한 레지스트 하층막 형성 조성물
KR20120033268A (ko) 레지스트 하층막 형성용 조성물, 중합체, 레지스트 하층막, 패턴 형성 방법 및 반도체 장치의 제조 방법
CN115280240A (zh) 在基材上方制造偏析层的方法和制造器件的方法
JP2018091942A (ja) 平坦化膜形成組成物、これを用いた平坦化膜およびデバイスの製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination