CN112063994A - 用于清洁石英外延腔室的方法 - Google Patents

用于清洁石英外延腔室的方法 Download PDF

Info

Publication number
CN112063994A
CN112063994A CN202010493392.5A CN202010493392A CN112063994A CN 112063994 A CN112063994 A CN 112063994A CN 202010493392 A CN202010493392 A CN 202010493392A CN 112063994 A CN112063994 A CN 112063994A
Authority
CN
China
Prior art keywords
reaction chamber
gas
heating step
duration
arsenic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010493392.5A
Other languages
English (en)
Inventor
G.戴耶
J.马吉蒂斯
J.托尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112063994A publication Critical patent/CN112063994A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

公开了一种原位清洁外延反应腔室的方法。该方法可包括预涂布步骤、高温烘烤步骤和气体蚀刻步骤。该方法能够去除可能由石英制成的在反应腔室内积聚的残留物。

Description

用于清洁石英外延腔室的方法
技术领域
本发明涉及一种用于加工半导体基板的反应系统。具体而言,本发明涉及在反应系统的内壁上发生化学残留物的形成之后清洁反应系统。
背景技术
已知在特定的半导体应用像例如NMOS器件中采用外延工艺。这些工艺利用的化学前体通常具有在反应系统的腔室壁上形成厚残留物涂层的倾向。腔室壁通常由石英制成,这是由于石英具有杂质少、对受热的化学物质稳健并且透明的性质。
当务之急是要不时去除形成在石英壁上的残留物。由于引入热漂移、颗粒污染和/或高的掺杂剂背景浓度,故残留物可能不利地影响外延膜的形成。在一些情况下,残留物可能阻碍热能从加热源向晶片的传递;最终导致工艺漂移和/或膜均匀性损失。由残留物生成的颗粒很可能脱落,并可能导致膜缺陷。还存在工艺过程中从残留物脱气的可能性。在晶片上游有涂层的情况下,产生的气体可能干扰晶片的掺杂剂分布。此外,从残留物脱气使得定期维护活动因气体的毒性而困难。
先前的清洁石英壁的方法可能涉及反应腔室的去除和更换。然而,这需要关停工具,从而导致生产量的降低和工艺变换的可能性。因此,优选进行原位清洁的工艺。如标题为“Device for In-situ Cleaning of an Inductively-coupled Plasma Chambers”的美国专利号6,749,717中所述,现有方法已利用原位生成的等离子体来形成清洁气体,该专利以引用的方式并入本文。然而,等离子体发生器可能生成也可能有害地蚀刻腔室壁的清洁气体。或者,在存在气态HCl流的情况下,一种普通的清洁解决方案可以是加热反应腔室。这种方法的主要缺点在于,通常,反应腔室的外围区域不能变得足够热以具有足够的蚀刻。
在标题为“Etchant Treatment Processes for Substrate Surfaces andChamber Surfaces”的美国专利号8,445,389中公开了含硅材料的去除,该专利以引用的方式并入本文。然而,外延工艺可能导致比含硅材料更难以去除的残留物。
因此,需要一种允许从反应腔室中的壁有效去除外延应用中生成的残留物而不会不利地影响壁的工艺。
发明内容
公开了一种用于原位清洁反应腔室的方法。所述方法包括:用保护性涂层气体预涂布反应腔室内的多个壁,所述保护性涂层气体包含以下中的至少之一:二氯硅烷(DCS)、硅烷或乙硅烷;将反应腔室加热到超过700℃的温度;和使蚀刻剂气体流到反应腔室中;其中所述蚀刻剂气体从所述多个壁去除残留物,所述残留物包含以下中的至少之一:基于砷、磷或锗的材料。
出于概述本发明和所实现的优于现有技术的优势的目的,在本文上述内容中描述了本发明的某些目标和优势。当然,应理解,未必所有此类目标或优势都可根据本发明的任一特定实施例实现。因此,举例来说,所属领域的技术人员将认识到,本发明可按实现或优化本文中教示或表明的一个优势或一组优势的方式体现或实行,而未必实现本文中可能教示或表明的其它目标或优势。
所有这些实施方案均意在包括在所公开的本发明的范围内。对于所属领域的技术人员来说,这些和其它实施例将根据参考附图的某些实施例的以下详细描述而变得显而易见,本发明不限于所公开的任何特定实施例。
附图说明
下文将参照某些实施例的图式来描述本文中所公开的本发明的这些和其它特征、方面和优势,所述实施例意图说明而不是限制本发明。
图1示意了根据本发明的至少一个实施方案的清洁工艺的流程图。
图2示意了能够运行根据本发明的至少一个实施方案的工艺的腔室。
应理解,图中的元件仅为简单和清晰起见示意而不一定按比例绘制。举例来说,图中的一些元件的尺寸可能相对于其它元件放大,以有助于改进对本公开的所说明的实施例的理解。
具体实施方式
尽管下文公开了某些实施例和实例,但所属领域的技术人员将理解,本发明延伸超出了本发明具体公开的实施例和/或用途以及显而易见的修改和其等效物。因此,希望本发明所公开的范围不应受限于下文所描述的特定公开实施例。
用来形成NMOS器件的工艺可形成膜,如第5族的层或其组合。为此,工艺可使用化学前体如胂或膦,或其任何卤代或烷基取代的变体。残留物可包含例如砷、磷、砷化合物(AsXn)、磷化合物(PXn)、三氯化砷、一氢二氯化砷或氯化磷。对于包含化学物质如砷的残留物,这些残留物例如会在工具维护期间对设备工程师造成严重的健康问题。本发明的实施方案旨在将砷、磷和锗化学物质和化合物的存在最小化至接近零浓度以达到美国政府工业卫生学家联合会针对职业暴露极限设定的阈值极限的标准。这些浓度可在大约为现有脱气等待时间的10至60%的较短时间内达到,从而允许更高的生产率。由于完全去除了涂层,故设备工程师的灰尘和残留物暴露也大大减少。清洁后,暴露于设备工程师的胂和氯分别低于百万分之0.005和0.5(ppm)的职业暴露极限。
此外,本发明的实施方案旨在增加石英腔室在需要更换或翻新之前的寿命。本发明的实施方案可允许在更换之前潜在地加工多达10,000至30,000个晶片。鉴于可用石英腔室的行业短缺,腔室寿命的这种延长至关重要。
图1示意了根据本发明的至少一个实施方案的工艺100。工艺100包括:(1)保护性预涂布步骤110;(2)高温烘烤步骤120;和(3)气体蚀刻步骤130。取决于气体蚀刻步骤130中使用的气体,可能需要保护性预涂布步骤110。保护性预涂布步骤110可用来保护反应腔室内的零件。例如,反应腔室中的特定零件可能由碳化硅(SiC)或石墨制成。长时间暴露于特定气态卤化物可能导致SiC或石墨零件的损坏。保护性预涂布步骤110将防止这种来自长时间暴露的损坏。
保护性预涂布步骤110可包括化学前体的流动,如以下中的至少之一:二氯硅烷(DCS)、三氯硅烷(TCS)、硅烷(SiH4)或乙硅烷(Si2H6)。化学前体将在腔室的SiC或石墨零件上以及石英壁上形成层。保护性预涂布步骤110期间反应腔室的温度可在750-950℃之间的范围内。保护性预涂布步骤110可继续直至前体的层形成超过35nm、40nm或45nm的厚度。保护性预涂布步骤110的持续时间可超过180s、210s或240s。
高温烘烤步骤120包括将反应腔室加热到超过700℃、800℃或900℃的温度。高温烘烤步骤120的原因可包括加热反应腔室,尤其是外围区域处,以实现有效的蚀刻化学。高温烘烤步骤还可增加衬托器温度与腔室温度的解耦。高温烘烤步骤120的持续时间可超过160s、170s或180s。高温烘烤步骤120导致热的石英腔室,这可能使得在气体蚀刻步骤130期间更容易去除残留物。
气体蚀刻步骤130可包括使包含氯气(Cl2)或氮气(N2)中的至少之一的气体流过。在使用氯气的情况下,这将消除腔室内对盐酸(HCl)的需求,从而为工具操作员提供更安全的条件。气体蚀刻步骤130的压力可在40-100托、45-90托或50-85托之间的范围内。气体蚀刻步骤130的持续时间可在1至10分钟、1.5至7分钟或2至5分钟的范围内。在气体蚀刻步骤130期间流动的气体可与残留物反应形成氯取代的砷、锗、硅或磷或其任何氯化衍生物。这可例如通过使惰性气体如氮气、氩气或氪气流动而容易地从反应腔室吹扫出。
图2示意了根据本发明的至少一个实施方案的示例性反应系统200。反应系统200包括:反应腔室壳体210;配置为保持基板230的晶片保持器220;第一气体源240;第二气体源250;第三气体源260;入口气体管线270;出口气体管线280;排气装置(exhaust)290;高温计300;和压力控制阀310。第一气体源240可配置为使用来在基板230上形成膜的反应气体流动。第二气体源250可配置为使用来在基板230上形成膜的另一反应气体流动或可配置为使吹扫气体流动。第三气体源260可配置为使蚀刻剂气体流动。
来自第一气体源240、第二气体源250和第三气体源260的气体可通过入口气体管线270流入反应腔室壳体210中。任何剩余气体可通过出口气体管线280吹扫到排气装置290中。另外的气体源可采用另外的入口气体管线。同样,另外的排气装置可采用另外的出口气体管线。
高温计300可嵌入在反应腔室壳体210中。高温计300可配置为测量反应腔室壳体210内的温度。压力控制阀310可配置为控制反应腔室壳体210内的压力。
上述工艺的运行可改善高温计300和压力控制阀310的功能。高温计300和压力控制阀310二者的性能都可能受到膜沉积工艺过程中膜的积累的不利影响。如图1中所示的清洁工艺可去除涂层,否则其将妨碍从高温计300和压力控制阀310的准确读数。清洁工艺还能够去除排气装置前级管线280中的涂层,这可延长前级管线部件280在工具上的寿命或允许前级管线部件280的安全拆卸。
所示和描述的特定实施方案是对本发明和其最佳模式的说明,并非意在以任何方式限制各方面和实施方案的范围。实际上,为了简洁起见,可能未详细描述系统的常规制造、连接、准备和其它功能方面。此外,各种图中所示的连接线旨在表示各种元件之间的示范性功能关系和/或物理联接。许多替代的或附加的功能关系或物理连接可能存在于实际的系统中,和/或在一些实施方案中可能不存在。
应理解,本文描述的配置和/或方法本质上是示范性的,并且这些具体实施例或示例不应在限制意义上予以考虑,因为许多变化是可能的。本文所描述的具体例程或方法可表示任何数目的处理策略中的一个或多个。因此,所示的各种动作可以以所示的顺序执行、以其他顺序执行或者在一些情况下可以省略。
本公开的主题包含本文所公开的各种程序、系统和配置以及其它特征、功能、动作和/或特性以及其任何和所有等效物的所有新颖并且非显而易见的组合和子组合。

Claims (17)

1.一种用于原位清洁反应腔室的方法,所述方法包括:
用保护性涂层气体预涂布反应腔室内的多个壁,所述保护性涂层气体包含以下中的至少之一:二氯硅烷(DCS)、硅烷或乙硅烷;
将所述反应腔室加热到超过700℃的温度;以及
使蚀刻剂气体流动到所述反应腔室中;
其中所述蚀刻剂气体从所述多个壁去除残留物,所述残留物包含以下中的至少之一:基于砷的材料、基于锗的材料、基于硅的材料或基于磷的材料。
2.根据权利要求1所述的方法,其中所述蚀刻剂气体包含以下中的至少之一:氯气(Cl2)或氮气(N2)。
3.根据权利要求1所述的方法,所述方法还在所述反应腔室内包含至少一个零件,其中所述零件包含以下中的至少之一:碳化硅或石墨。
4.根据权利要求1所述的方法,其中在流动步骤期间所述反应腔室的压力在40-100托、45-90托或50-85托之间的范围内。
5.根据权利要求1所述的方法,其中流动步骤的持续时间在1至10分钟、1.5至7分钟或2至5分钟的范围内。
6.根据权利要求1所述的方法,其中在加热步骤期间所述反应腔室的温度超过800℃。
7.根据权利要求1所述的方法,其中在加热步骤期间所述反应腔室的温度超过900℃。
8.根据权利要求1所述的方法,其中加热步骤的持续时间超过160s。
9.根据权利要求1所述的方法,其中加热步骤的持续时间超过170s。
10.根据权利要求1所述的方法,其中加热步骤的持续时间超过180s。
11.根据权利要求1所述的方法,其中所述残留物包含基于砷的材料。
12.根据权利要求11所述的方法,其中去除所述残留物将基于砷的材料的浓度降低到百万分之0.005的水平。
13.根据权利要求2所述的方法,其中所述蚀刻剂气体包含氯气。
14.根据权利要求13所述的方法,其中去除所述残留物将氯气的浓度降低到百万分之0.5的水平。
15.一种半导体膜沉积系统,所述沉积系统包括:
反应腔室;
第一气体源;
第二气体源;
排气装置;
配置为测量所述反应腔室内的温度的高温计;
配置为调节所述反应腔室内的压力的压力控制阀;和
蚀刻剂气体源;
其中所述半导体膜沉积系统配置为执行根据权利要求1所述的方法。
16.根据权利要求15所述的系统,其中所述反应腔室包含石英。
17.根据权利要求15所述的系统,所述系统还包含设置在所述反应腔室内的零件,所述零件包含以下中的至少之一:碳化硅或石墨。
CN202010493392.5A 2019-06-10 2020-06-03 用于清洁石英外延腔室的方法 Pending CN112063994A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962859621P 2019-06-10 2019-06-10
US62/859,621 2019-06-10

Publications (1)

Publication Number Publication Date
CN112063994A true CN112063994A (zh) 2020-12-11

Family

ID=73650220

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010493392.5A Pending CN112063994A (zh) 2019-06-10 2020-06-03 用于清洁石英外延腔室的方法

Country Status (3)

Country Link
US (1) US20200385861A1 (zh)
KR (1) KR20200141931A (zh)
CN (1) CN112063994A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113388893A (zh) * 2021-06-11 2021-09-14 广州粤芯半导体技术有限公司 一种石英反应腔的维护方法
CN114045470A (zh) * 2021-12-31 2022-02-15 西安奕斯伟材料科技有限公司 一种用于常压外延反应腔室的清洁方法及外延硅片

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056740A1 (de) * 2021-03-10 2022-09-14 Siltronic AG Verfahren zum herstellen von halbleiterscheiben mit epitaktischer schicht in einer kammer eines abscheidereaktors
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240118817A (ko) 2021-12-03 2024-08-05 아익스트론 에스이 프로세스 챔버에서 제5 족 원소를 포함하는 층을 증착시키고 그리고 프로세스 챔버의 후속 세정을 위한 방법 및 디바이스
DE102022114717A1 (de) 2021-12-03 2023-06-07 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer ein Element der V. Hauptgruppe enthaltenen Schicht in einer Prozesskammer und anschließenden Reinigen der Prozesskammer
DE102023105081A1 (de) 2023-03-01 2024-09-05 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer ein Element der V. Hauptgruppe enthaltenen Schicht in einer Prozesskammer und anschließendem Reinigen der Prozesskammer

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
EP1079000A1 (en) * 1999-08-24 2001-02-28 Applied Materials, Inc. Method for removing residue from an exhaust line
US20030183244A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040115941A1 (en) * 1999-12-16 2004-06-17 Wacker Siltronic Geseellschaft Fur Halbleitermaterialien Ag Epitaxially coated semiconductor wafer and process for producing it
CN1767154A (zh) * 2004-07-23 2006-05-03 气体产品与化学公司 从基板上清除含碳的残余物的方法
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
TW200636807A (en) * 2005-02-23 2006-10-16 Tokyo Electron Ltd Cleaning step in supercritical processing
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20140083450A1 (en) * 2012-09-25 2014-03-27 Applied Materials, Inc. Chamber clean with in gas heating source
US20190062904A1 (en) * 2017-08-30 2019-02-28 Applied Materials, Inc. Integrated epitaxy system high temperature contaminant removal

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
EP1079000A1 (en) * 1999-08-24 2001-02-28 Applied Materials, Inc. Method for removing residue from an exhaust line
US20040115941A1 (en) * 1999-12-16 2004-06-17 Wacker Siltronic Geseellschaft Fur Halbleitermaterialien Ag Epitaxially coated semiconductor wafer and process for producing it
US20030183244A1 (en) * 2002-04-02 2003-10-02 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
CN1767154A (zh) * 2004-07-23 2006-05-03 气体产品与化学公司 从基板上清除含碳的残余物的方法
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
TW200636807A (en) * 2005-02-23 2006-10-16 Tokyo Electron Ltd Cleaning step in supercritical processing
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20140083450A1 (en) * 2012-09-25 2014-03-27 Applied Materials, Inc. Chamber clean with in gas heating source
US20190062904A1 (en) * 2017-08-30 2019-02-28 Applied Materials, Inc. Integrated epitaxy system high temperature contaminant removal

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113388893A (zh) * 2021-06-11 2021-09-14 广州粤芯半导体技术有限公司 一种石英反应腔的维护方法
CN114045470A (zh) * 2021-12-31 2022-02-15 西安奕斯伟材料科技有限公司 一种用于常压外延反应腔室的清洁方法及外延硅片
TWI838823B (zh) * 2021-12-31 2024-04-11 大陸商西安奕斯偉材料科技股份有限公司 用於常壓外延反應腔室的清潔方法及外延矽片

Also Published As

Publication number Publication date
TW202108818A (zh) 2021-03-01
KR20200141931A (ko) 2020-12-21
US20200385861A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
CN112063994A (zh) 用于清洁石英外延腔室的方法
US6825051B2 (en) Plasma etch resistant coating and process
US11377730B2 (en) Substrate processing apparatus and furnace opening cover
KR101427726B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP4430918B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
KR102145102B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
EP3614419B1 (en) Film-forming device and method for cleaning same
KR20080055673A (ko) Cvd 챔버 세정을 위한 열적 f2 에칭 공정
JP2008078285A (ja) 基板処理装置および半導体装置の製造方法
JP2011233583A (ja) 気相成長装置及びシリコンエピタキシャルウェーハの製造方法
TWI855087B (zh) 原位清潔一反應室之方法及半導體膜沉積系統
JPWO2018043446A1 (ja) 半導体製造用チャンバのクリーニング方法
JP5267361B2 (ja) エピタキシャル成長方法
US20130315789A1 (en) Uv assisted polymer modification and in situ exhaust cleaning
US20060062913A1 (en) Process for depositing btbas-based silicon nitride films
JP5197554B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
JP2009088308A (ja) 基板処理装置
JP6677958B2 (ja) 気相成長装置における汚染部品のドライ洗浄装置
US20240003005A1 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI851767B (zh) 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
JP5370209B2 (ja) シリコンエピタキシャルウェーハの製造方法
CN117604633A (zh) 恢复外延反应炉的方法
JPWO2018051472A1 (ja) SiCエピタキシャル成長炉系における三フッ化塩素クリーニング残渣除去方法
CN115652283A (zh) 一种mocvd腔体覆盖件清洗方法
CN114787970A (zh) 外延硅晶片的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination