TW202108818A - 原位清潔一反應室之方法及半導體膜沉積系統 - Google Patents

原位清潔一反應室之方法及半導體膜沉積系統 Download PDF

Info

Publication number
TW202108818A
TW202108818A TW109118780A TW109118780A TW202108818A TW 202108818 A TW202108818 A TW 202108818A TW 109118780 A TW109118780 A TW 109118780A TW 109118780 A TW109118780 A TW 109118780A TW 202108818 A TW202108818 A TW 202108818A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
gas
torr
heating
based material
Prior art date
Application number
TW109118780A
Other languages
English (en)
Inventor
格雷戈里 德葉
喬 瑪格蒂斯
約翰 托勒
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202108818A publication Critical patent/TW202108818A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

揭示一種原位清潔一磊晶反應室之方法。此方法可包括一預塗佈步驟、一高溫焙烤步驟、以及一氣體蝕刻步驟。此方法能夠移除累積在可由石英製成之反應室內的殘留物。

Description

原位清潔一反應室之方法及半導體膜沉積系統
本發明係關於一反應系統,其用於處理半導體基材。具體而言,本發明係關於在反應室之內壁上發生化學殘留物的形成之後清潔反應室。
已知磊晶(Epitaxial processes)製程係用在特定的半導體應用(例如,N型金氧半導體(NMOS)裝置)中。這些製程使用通常具有在反應室的室壁上形成厚殘留物塗層的傾向之化學前驅物。由於石英的低雜質、對加熱化學品的耐變性(robustness)、及透明度的性質,室壁一般係以石英製成。
不時移除石英壁上所形成的殘留物係強制性的。由於引入熱漂移(thermal drift)、粒子污染、及/或高摻雜物背景濃度,殘留物可不利地影響磊晶膜的形成。在一些情況下,殘留物可阻礙熱能從加熱源至晶圓之通道;最終導致製程漂移及/或喪失膜的均勻性。由殘留物所產生的粒子有可能脫落(shed),並可導致膜的缺陷。亦存在製程期間從殘留物釋氣(outgassing)的可能性。若在晶圓上游存在塗層,則所產生的氣體可能會干擾晶圓的摻雜物輪廓(profile)。進一步地,由於氣體的毒性,來自殘留物的釋氣使週期性維護活動難以進行。
先前用以清潔石英壁之方法可有關於反應室的移除及更換。然而,此需要將工具停機,導致減少的產出量及製程偏移(process shift)的可能性。因此,執行原位(in-situ)清潔的製程係較佳的。先前方法已使用原位產生的電漿來形成清潔氣體,如發明名稱為「Device for In-situ Cleaning of an Inductively-coupled Plasma Chambers」之美國專利第6,749,717號中所述,特此以引用方式將其併入。然而,電漿產生器可產生亦可能會有害地蝕刻室壁之清潔氣體。替代地,常見的清潔解決方案可係在氣態鹽酸(HCl)流存在時加熱反應室。此方法的主要缺點在於,一般而言,反應室的周邊區域無法達到具有充分蝕刻的足夠熱度。
含矽材料之移除係揭示於發明名稱為「Etchant Treatment Processes for Substrate Surfaces and Chamber Surfaces」之美國專利第8,445,389號中,特此以引用方式將其併入本文中。然而,磊晶製程可能會導致比含矽材料更難以移除的殘留物。
因此,對於允許從反應室壁有效地移除磊晶應用中所產生的殘留物而不會不利地影響壁之製程存在有需求。
揭示一種用於原位清潔一反應室之方法。此方法包括:以一保護性塗佈氣體預塗佈一反應室內的複數個壁,保護性塗佈氣體包括下列之至少一者:二氯矽烷(dichlorosilane,DCS)、矽烷(silane)、或二矽烷(disilane);將反應室加熱至超過700o C的一溫度;及使一蝕刻劑氣體流動到反應室中;其中蝕刻劑氣體從複數個壁移除一殘留物,殘留物包括下列之至少一者:砷基材料、磷基材料、或鍺基材料。
出於概述本發明及所達成之優於先前技術之優點的目的,已在上文中描述本發明之某些目標及優點。當然,應瞭解的是,可無須根據本發明之任何具體實施例,來達成所有此類目標或優點。因此,舉例而言,所屬技術領域中具有通常知識者將認知到,可以達成或最佳化如本文中所教示或建議之一個優點或一組優點,而無須達成本文中可教示或建議之其他目標或優點的方式,來實施或實行本發明。
所有這些實施例均意欲屬於本文所揭示之本發明的範疇。所屬技術領域中具有通常知識者將從下文參照附圖詳細描述的某些實施例輕易地明白這些及其他實施例,本發明並未受限於所揭示的任何特定實施例。
雖然在下文揭示某些實施例及實例,所屬技術領域中具有通常知識者將瞭解本發明延伸超出本發明及其明顯的修改與均等物之具體揭示的實施例及/或用途。因此,意欲使所揭示之本發明的範疇不應受下文所述之具體揭示實施例的限制。
用於形成 N型金氧半導體裝置的製程可形成膜(諸如第5族(group 5)的層或其一組合)。欲實現此,製程可使用化學前驅物(諸如胂(arsine)或膦(phosphine)或者其任何鹵化或烷基取代變體)。例如,殘留物可包括砷(arsenic)、磷(phosphorous)、砷化合物(arsenic compounds) (AsXn )、磷化合物(phosphorous compounds) (PXn )、三氯化砷(arsenic trichloride)、二氯單氫化砷(arsenic dichloromonohydride)、或氯化磷(phosphorous chloride)。例如,對有關於例如砷的化學品之殘留物而言,在工具維護期間,殘留物可能導致設備工程師之嚴重的健康問題。本發明之實施例係關於將砷、磷、及鍺(germanium)化學品及化合物的存在最小化至接近零濃度,以符合由美國政府工業衛生師協會(American Conference of Governmental Industrial Hygienists)針對職業暴露限值之臨限值限制所設定的標準。可以在較短的時間(大約10%至60%的現有釋氣等待時間)內符合這些濃度,允許較大的產量。由於塗層完整移除,因此亦大幅減少設備工程師至粉塵及殘留物的暴露。在清潔之後,設備工程師上的砷及氯氣(chlorine)係分別低於百萬分之0.005 (0.005 ppm)及百萬分之0.5 (0.5 ppm)之職業暴露限值。
此外,本發明之實施例係關於增加石英室在其必須進行更換或整修(refurbished)之任一者之前的壽命。在更換之前,本發明之實施例可潛在地允許多達10,000至30,000個晶圓之處理。考慮到產業在石英室 可獲得性(availability)方面的短缺,這類的室壽命之延長係關鍵的。
第1圖繪示根據本發明之至少一實施例之製程100。製程100包括:(1)保護性預塗佈步驟110;(2)高溫焙烤步驟120;及(3)氣體蝕刻步驟130。取決於氣體蝕刻步驟130中所用的氣體,可能會需要保護性預塗佈步驟110。保護性預塗佈步驟110可用以保護反應室內的部件。例如,反應室中的特定部件可以碳化矽(silicon carbide,SiC)或石墨(graphite)製成。長時間暴露至特定氣態鹵化物可能會導致碳化矽或石墨部件受損。保護性預塗佈步驟110將防止此由於長時間暴露之受損。
保護性預塗佈步驟110可包括化學前驅物之流動,此化學前驅物係諸如下列之至少一者:二氯矽烷(dichlorosilane,DCS)、三氯矽烷(trichlorosilane,TCS)、矽烷(silane,SiH4 )、或二矽烷(disilane,Si2 H6 )。化學前驅物將在室的碳化矽或石墨部件以及石英壁上形成一層。保護性預塗佈步驟110期間之反應室溫度的範圍可介於750ºC至950ºC之間。保護性預塗佈步驟110可持續直到一層前驅物形成超過35奈米(nm)、40奈米、或45 奈米的厚度。保護性預塗佈步驟110的持續時間可超過180秒、210秒、或240秒。
高溫焙烤步驟120包括將反應室加熱至超過700o C、800o C、或900o C的溫度。高溫焙烤步驟120的原因可包括加熱反應室(尤其在周邊區域處),使得可以發生有效的蝕刻化學(etching chemistry)。高溫焙烤步驟亦可包括將基座溫度與室溫度解耦接(decoupling)。高溫焙烤步驟120的持續時間可超過160秒、170秒、或180秒。高溫焙烤步驟120導致熱石英室,其可導致在氣體蝕刻步驟130期間較容易移除殘留物。
氣體蝕刻步驟130可包括使氣體流動,氣體包括下列之至少一者:氯氣(chlorine,Cl2 )或氮氣(nitrogen,N2 )。在使用氯氣的那些狀況下,此將排除室內對鹽酸(hydrochloric acid,HCl)的需求,導致對工具操作者更安全的條件。氣體蝕刻步驟130的壓力範圍可介於40托耳(Torr)至100 托耳、45托耳至90 托耳、或50托耳至85 托耳之間。氣體蝕刻步驟130的持續時間範圍可從1分鐘至10分鐘、1.5分鐘至7分鐘、或2分鐘至5分鐘。在氣體蝕刻步驟130期間流動的氣體可與殘留物起反應,以形成氯取代砷、鍺、矽、或磷、或者其任何氯化衍生物。此可藉由例如使惰性氣體(諸如氮氣、氬氣、或氪氣)流動,而輕易地從反應室吹掃。
第2圖繪示根據本發明之至少一實施例之例示性反應系統200。反應系統200包括:反應室殼體210;晶圓固持器220,其配置以固持基材230;第一氣源240;第二氣源250;第三氣源260;入口氣體管線270;出口氣體管線280;排氣裝置290;高溫計300;壓力控制閥310。第一氣源240可配置以使反應氣體流動,此反應氣體係用以在基材230上形成膜。第二氣源250可配置以使另一反應氣體流動或可配置以使吹掃氣體流動,反應氣體係用以在基材230上形成膜。第三氣源260可配置以使蝕刻劑氣體流動。
來自第一氣源240、第二氣源250、及第三氣源260之氣體可流過入口氣體管線270進入反應室殼體210中。任何餘留氣體可通過出口氣體管線280沖出至排氣裝置290中。額外的氣源可與額外的入口氣體管線併用。同樣地,額外的排氣可與額外的出口氣體管線併用。
高溫計300可嵌入在反應室殼體210中。高溫計300可配置以測量反應室殼體210內的溫度。壓力控制閥310可配置以控制反應室殼體210內的壓力。
上述製程之運行可改善高溫計300及壓力控制閥310的功能。高溫計300及壓力控制閥310兩者的性能可受到膜沉積製程期間之膜積聚的不利影響。清潔製程(諸如第1圖所示者)可移除塗層,此塗層將以其他方式妨礙來自高溫計300及壓力控制閥310的準確讀數。清潔製程亦能夠移除排氣前級管線(foreline)280中的塗層,其可延長前級管線組件280在工具上的壽命或使得可以安全地拆卸前級管線組件280。
所顯示及描述之特定實施方案係對本發明及其最佳模式之說明,且並非意欲以任何不同方式限制態樣及實施方案之範疇。實際上,為簡潔起見,可不詳細描述系統之習知的製造、連接、製備、及其他功能性態樣。此外,在不同圖式中所示之連接線係意欲表示不同元件之間的例示性功能關係及/或實體耦接。實際系統中可存在許多替代或額外的功能關係或實體連接,且/或在一些實施例中可不存在。
應瞭解,本文所述之配置及/或方法本質上係例示性,且這些特定實施例或實例不應視為限制意義,因為可有眾多變化。本文所述之特定例行程序或方法可表示任何數目的處理策略之一或多者。因此,所說明之各種動作可於所說明之順序執行,以其他順序執行,或在一些情況下被省略。
本揭露之主題包括本文中所揭示之各種製程、系統、及配置、以及其他特徵、功能、動作、及/或性質的所有新穎的及非顯而易見的組合及子組合以及其等之任何及所有均等物。
100:製程 110:保護性預塗佈步驟 120:高溫焙烤步驟 130:氣體蝕刻步驟 200:反應系統 210:反應室殼體 220:晶圓固持器 230:基材 240:第一氣源 250:第二氣源 260:第三氣源 270:入口氣體管線 280:出口氣體管線,排氣前級管線,前級管線組件 290:排氣裝置 300:高溫計 310:壓力控制閥
本文所揭示的本發明之這些及其他特徵、態樣、及優點在下文參照某些實施例之圖式描述,此等實施例係意欲說明而非限制本發明。 第1圖繪示根據本發明之至少一實施例之清潔製程的流程圖。 第2圖繪示根據本發明之至少一實施例之能夠運行製程的室。
將理解到,圖式中之元件係為了簡單及清楚起見而繪示,且不一定按比例繪製。舉例而言,附圖中一些元件之尺寸可相對於其他元件而放大,以幫助提昇對本揭示內容所說明之實施例的理解。
100:製程
110:保護性預塗佈步驟
120:高溫焙烤步驟
130:氣體蝕刻步驟

Claims (17)

  1. 一種原位清潔一反應室之方法,包括: 以一保護性塗佈氣體預塗佈一反應室內的複數個壁,該保護性塗佈氣體包括下列之至少一者:二氯矽烷、矽烷、或二矽烷; 將該反應室加熱至超過700o C之一溫度;以及 使一蝕刻劑氣體流動到該反應室中; 其中該蝕刻劑氣體從該等壁移除一殘留物,該殘留物包括下列之至少一者:砷基材料、鍺基材料、矽基材料、或磷基材料。
  2. 如請求項1之方法,其中該蝕刻劑氣體包括下列之至少一者:氯氣或氮氣。
  3. 如請求項1之方法,更包括在該反應室內之至少一部件,其中該部件包括下列之至少一者:碳化矽或石墨。
  4. 如請求項1之方法,其中在流動之該步驟期間,該反應室的一壓力範圍係介於40托耳至100 托耳、45托耳至90 托耳、或50托耳至85 托耳之間。
  5. 如請求項1之方法,其中流動之該步驟具有之一持續時間範圍係從1分鐘至10分鐘、1.5分鐘至7分鐘、或2分鐘至5分鐘。
  6. 如請求項1之方法,其中在加熱之該步驟期間,該反應室之一溫度超過800o C。
  7. 如請求項1之方法,其中在加熱之該步驟期間,該反應室之一溫度超過900o C。
  8. 如請求項1之方法,其中加熱之該步驟具有超過160秒之一持續時間。
  9. 如請求項1之方法,其中加熱之該步驟具有超過170秒之一持續時間。
  10. 如請求項1之方法,其中加熱之該步驟具有超過180秒之一持續時間。
  11. 如請求項1之方法,其中該殘留物包括一砷基材料。
  12. 如請求項11之方法,其中移除該殘留物將砷基材料之一濃度減少至百萬分之0.005之一位準。
  13. 如請求項2之方法,其中該蝕刻劑氣體包括氯氣。
  14. 如請求項13之方法,其中移除該殘留物將氯氣之一濃度減少至百萬分之0.5之一位準。
  15. 一種半導體膜沉積系統,包括: 一反應室; 一第一氣源; 一第二氣源; 一排氣裝置; 一高溫計,配置以測量該反應室內之一溫度; 一壓力控制閥,配置以調節該反應室內之一壓力;以及 一蝕刻劑氣源; 其中該半導體膜沉積系統配置以施行如請求項1之方法。
  16. 如請求項15之系統,其中該反應室包括石英。
  17. 如請求項15之系統,更包括設置於該反應室內之一部件,該部件包括下列之至少一者:碳化矽或石墨。
TW109118780A 2019-06-10 2020-06-04 原位清潔一反應室之方法及半導體膜沉積系統 TW202108818A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962859621P 2019-06-10 2019-06-10
US62/859,621 2019-06-10

Publications (1)

Publication Number Publication Date
TW202108818A true TW202108818A (zh) 2021-03-01

Family

ID=73650220

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109118780A TW202108818A (zh) 2019-06-10 2020-06-04 原位清潔一反應室之方法及半導體膜沉積系統

Country Status (4)

Country Link
US (1) US20200385861A1 (zh)
KR (1) KR20200141931A (zh)
CN (1) CN112063994A (zh)
TW (1) TW202108818A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835333B (zh) * 2022-03-11 2024-03-11 大陸商西安奕斯偉材料科技股份有限公司 用於清潔石英晶舟的方法及裝置

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056740A1 (de) * 2021-03-10 2022-09-14 Siltronic AG Verfahren zum herstellen von halbleiterscheiben mit epitaktischer schicht in einer kammer eines abscheidereaktors
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113388893A (zh) * 2021-06-11 2021-09-14 广州粤芯半导体技术有限公司 一种石英反应腔的维护方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022114717A1 (de) 2021-12-03 2023-06-07 Aixtron Se Verfahren und Vorrichtung zum Abscheiden einer ein Element der V. Hauptgruppe enthaltenen Schicht in einer Prozesskammer und anschließenden Reinigen der Prozesskammer
TW202330981A (zh) 2021-12-03 2023-08-01 德商愛思強歐洲公司 用於在製程室中沉積含有第v主族之元素之層並隨後清潔製程室的方法及裝置
CN114045470B (zh) * 2021-12-31 2022-09-30 西安奕斯伟材料科技有限公司 一种用于常压外延反应腔室的清洁方法及外延硅片

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
DE19960823B4 (de) * 1999-12-16 2007-04-12 Siltronic Ag Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe und deren Verwendung
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
WO2014051909A1 (en) * 2012-09-25 2014-04-03 Applied Materials, Inc. Chamber clean with in gas heating source
WO2019046453A1 (en) * 2017-08-30 2019-03-07 Applied Materials, Inc. REMOVAL OF HIGH TEMPERATURE CONTAMINANTS FROM AN INTEGRATED EPITAXIS SYSTEM

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835333B (zh) * 2022-03-11 2024-03-11 大陸商西安奕斯偉材料科技股份有限公司 用於清潔石英晶舟的方法及裝置

Also Published As

Publication number Publication date
US20200385861A1 (en) 2020-12-10
CN112063994A (zh) 2020-12-11
KR20200141931A (ko) 2020-12-21

Similar Documents

Publication Publication Date Title
TW202108818A (zh) 原位清潔一反應室之方法及半導體膜沉積系統
JP6093174B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2024081756A (ja) 反応器、反応器を含むシステム、並びにこれを製造する方法及び使用する方法
US5607515A (en) Method of cleaning CVD apparatus
US20050011445A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
KR20080055673A (ko) Cvd 챔버 세정을 위한 열적 f2 에칭 공정
JP2003526731A (ja) Cvdコールドウォールチャンバおよび排気ラインの清浄方法
TWI768025B (zh) 成膜裝置及其洗淨方法
US7121286B2 (en) Method for cleaning a manufacturing apparatus and a manufacturing apparatus
JP2008078285A (ja) 基板処理装置および半導体装置の製造方法
KR100611612B1 (ko) 스로틀 밸브 위치를 이용한 세척 공정의 종료점 결정 방법 및 장치
WO2018043446A1 (ja) 半導体製造用チャンバのクリーニング方法
JP6924775B2 (ja) 排気堆積物の除去のための温度制御された遠隔プラズマ洗浄
JP5267361B2 (ja) エピタキシャル成長方法
JP2005277302A (ja) 半導体装置の製造方法
US20130315789A1 (en) Uv assisted polymer modification and in situ exhaust cleaning
US20060062913A1 (en) Process for depositing btbas-based silicon nitride films
JP2010212712A (ja) 半導体装置の製造方法、クリーニング方法及び半導体装置の製造装置
JP7004608B2 (ja) 半導体膜の形成方法及び成膜装置
TWI794194B (zh) 腔室清潔終點的虛擬感測器
JP2023511196A (ja) 半導体反応チャンバの保護コーティング
JP2009088308A (ja) 基板処理装置
US20150187562A1 (en) Abatement water flow control system and operation method thereof
JP2020119920A (ja) 基板処理装置の洗浄方法、および基板処理装置
JP4700236B2 (ja) 半導体装置の製造方法および基板処理装置