CN106711046A - 鳍式场效晶体管的制作方法 - Google Patents

鳍式场效晶体管的制作方法 Download PDF

Info

Publication number
CN106711046A
CN106711046A CN201611032568.7A CN201611032568A CN106711046A CN 106711046 A CN106711046 A CN 106711046A CN 201611032568 A CN201611032568 A CN 201611032568A CN 106711046 A CN106711046 A CN 106711046A
Authority
CN
China
Prior art keywords
dielectric layer
gate bar
fin
exposed
semiconductor fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611032568.7A
Other languages
English (en)
Other versions
CN106711046B (zh
Inventor
张哲诚
林志翰
曾鸿辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106711046A publication Critical patent/CN106711046A/zh
Application granted granted Critical
Publication of CN106711046B publication Critical patent/CN106711046B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种鳍式场效晶体管的制作方法,包括:图案化衬底以形成多个沟槽以及位于沟槽之间的半导体鳍片。于沟槽内形成多个绝缘体,并且形成第一介电层以覆盖半导体鳍片与绝缘体。于第一介电层上形成拟栅极条。于拟栅极条的侧壁上形成多个间隙物拟栅极条。移除拟栅极条与位于其下方的第一介电层直到间隙物的侧壁、半导体鳍片的一部分以及绝缘体的多个部分被暴露出来。形成第二介电层以选择性地覆盖半导体鳍片被暴露出来的部分,其中第一介电层的厚度小于第二介电层的厚度。于间隙物之间形成栅极以覆盖第二介电层、间隙物的侧壁以及绝缘体被暴露出的部分。

Description

鳍式场效晶体管的制作方法
技术领域
本发明一实施例是有关于一种鳍式场效晶体管。
背景技术
由于半导体器件的尺寸不断缩小,三维多栅极结构,例如鳍式场效晶体管(FinFET)已被开发,以取代平面互补金属氧化物半导体(CMOS)器件。鳍式场效晶体管的结构特征为硅基鳍片(silicon based fin)从衬底的表面垂直延伸,并且栅极会围绕由鳍片所形成的导电信道,以对信道进一步提供更好的电气控制。
以具有短信道(即信道长度小于50奈米)的鳍式场效应晶体的栅极替换工艺为例,覆盖硅基鳍片的部分氧化物层需要被过度蚀刻,以使得后续的高介电常数介电层和栅极的沉积具有更好的工艺窗口(process window)。然而,氧化物层的高蚀刻量会导致金属栅极的漏电路径和挤出路径产生。
发明内容
一种鳍式场效晶体管的制作方法,包括:图案化衬底以形成多个位于衬底内的沟槽以及位于沟槽之间的半导体鳍片;于沟槽内形成多个绝缘体;形成第一介电层以覆盖半导体鳍片与绝缘体;于第一介电层上形成拟栅极条,拟栅极条的长度方向不同于半导体鳍片的长度方向;于拟栅极条的多个侧壁上形成一对间隙物;移除拟栅极条与位于其下方的第一介电层直到间隙物的多个侧壁、半导体鳍片的一部分以及绝缘体的多个部分被暴露出来;形成第二介电层以选择性地覆盖半导体鳍片被暴露出来的部分,其中第一介电层的厚度小于第二介电层的厚度;以及于间隙物之间形成栅极以覆盖第二介电层、间隙物被暴露出的侧壁以及绝缘体被暴露出来的部分。
附图说明
包含附图以便进一步理解本发明,且附图并入本说明书中并构成本说明书的一部分。附图说明本发明的实施例,并与描述一起用于解释本发明的原理。
图1绘示为根据一些实施例的一种半导体器件的制作方法的流程图。
图2A至图2L是根据一些实施例的一种半导体器件的制造方法的透视图。
附图标号说明
200、200a:衬底
202a:接垫层
202a’:图案化接垫层
202b:掩模层
202b’:图案化掩模层
204:图案化光刻胶层
206:沟槽
208:半导体鳍片
210:绝缘材料
210a:绝缘体
212:第一介电层
214a:第一拟栅极条
214b:第二拟栅极条
216a:第一间隙物
216b:第二间隙物
218:层间介电层
220:第二介电层
222a:第一栅极
222b:第二栅极
C1:第一凹槽
C2:第二凹槽
D1、D2:长度方向
G1:第一间隙
G2:第二间隙
H:高度差
S:间隙
T1:上表面
T2:顶表面
SW:侧壁
W1:第一宽度
W2:第二宽度
W3、W4:宽度
S10、S12、S14、S16、S18、S20、S22、S24、S26:步骤。
具体实施方式
以下揭露内容提供用于实施所提供的目标之不同特征的许多不同实施例或实例。以下所描述的构件及配置的具体实例是为了以简化的方式传达本发明为目的。当然,这些仅仅为实例而非用以限制。举例来说,于以下描述中,在第一特征上方或在第一特征上形成第二特征可包括第二特征与第一特征形成为直接接触的实施例,且亦可包括第二特征与第一特征之间可形成有额外特征使得第二特征与第一特征可不直接接触的实施例。此外,本发明在各种实例中可使用相同的器件符号及/或字母来指代相同或类似的部件。器件符号的重复使用是为了简单及清楚起见,且并不表示所欲讨论的各个实施例及/或配置本身之间的关系。
另外,为了易于描述附图中所绘示的一个构件或特征与另一器件或特征的关系,本文中可使用例如「在…下」、「在…下方」、「下部」、「在…上」、「在…上方」、「上部」及类似术语的空间相对术语。除了附图中所绘示的定向之外,所述空间相对术语意欲涵盖器件在使用或操作时的不同定向。设备可被另外定向(旋转90度或在其他定向),而本文所用的空间相对术语相应地做出解释。
本发明的实施例中所揭露的半导体器件的制作方法,而前述的半导体器件包括至少一个长通道的鳍式场效晶体管以及至少一个短通道的鳍式场效晶体管。在本发明的某些实施例中,半导体器件可形成块状硅衬底(bulk silicon substrates)上。当然,半导体器件亦可以选择地形成在绝缘体上硅(silicon-on-insulator,SOI)衬底或绝缘体上锗(germanium-on-insulator,GOI)衬底上。此外,根据实施例,硅衬底可以包括其它导电层或其它半导体器件,例如晶体管、二极管或类似物。上述的实施例并不限于此。
请参考图1,其依据本发明的一些实施例绘示出一种半导体器件的制作方法的流程图。所述的制作方法至少包括步骤S10、步骤S12、步骤S14、步骤S16、步骤S18、步骤S20、步骤S22、步骤S24以及步骤S26。首先,在步骤S10中,提供衬底,接着,图案化衬底以形成多个沟槽以及多个位于沟槽之间的半导体鳍片。在步骤S12中,于沟槽内形成多个绝缘体。前述的绝缘体例如是用以绝缘半导体鳍片的浅沟槽隔离(shallow trench isolation,STI)结构。在步骤S14中,形成第一介电层以覆盖半导体鳍片以及绝缘体。在步骤S16中,于第一介电层上形成至少一第一拟栅极条与至少一第二拟栅极条,其中第一拟栅极条的长度方向与第二拟栅极条的长度方向不同,而第一拟栅极条的宽度小于第二拟栅极条的宽度。第一拟栅极条与第二拟栅极条为导电条,例如是多晶硅条。在步骤S18中,于第一拟栅极条与第二拟栅极条上分别形成一对第一间隙物与一对第二间隙物。在步骤S20中,移除第一拟栅极条而形成第一凹槽。在步骤S22中,移除第二拟栅极条与位于其下方的第一介电层直到暴露出第二间隙物的侧壁、半导体鳍片的部分以及绝缘体的部份而形成第二凹槽。在步骤S24中,于第二凹槽内形成第二介电层,以选择性地覆盖半导体鳍片被暴露出来的部分,其中第一介电层的厚度小于第二介电层的厚度。前述的第二介电层例如是透过原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)所形成。在步骤S26中,于第一凹槽内形成第一栅极以及于第二凹槽内形成第二栅极,以覆盖第二介电层、第二间隙物被暴露出来的侧壁以及绝缘体被暴露出来的部分。如图1所示,第一拟栅极条的移除是在第二拟栅极条的移除之前进行。然而,移除第一拟栅极条与第二拟栅极条的顺序并不限于此。
图2A绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S10与图2A中,提供衬底200。于一实施例中,衬底200包括结晶硅衬底(如晶圆)。衬底200可依据设计需求而包括多种掺杂区(例如是p型衬底或n型衬底)。于其他实施例中,掺杂区可掺杂有p型与/或n型掺质。举例来说,掺杂区可掺杂有p型掺质,例如是硼或二氟化硼(BF2);而n型掺质,例如是磷、砷、与/或上述的组合。掺杂区可以被配置为n型的鳍式场效晶体管、p型鳍式场效晶体管或上述的组合。于其他实施例中,衬底200可以由一些其它适合的元素半导体,如钻石或锗;适合的化合物半导体,如砷化镓、碳化硅、砷化铟或磷化铟;或者适合的合金半导体,如碳化硅锗(silicon germanium carbide,SiGeC)、磷化砷镓(galliumarsenic phosphide)或磷化铟镓(gallium indium phosphide)所组成。
于一实施例中,于衬底200上依序形成接垫层202a与掩模层202b。接垫层202a例如是透过热氧化工艺所形成的氧化硅薄膜。接垫层202可以作为衬底200与掩模层202b之间的黏着层。接垫层202a可以作为蚀刻掩模层202b的蚀刻终止层。于至少一实施例中,掩模层202b为氮化硅层,其是通过低压化学气相沉积(PECVD)或等离子增强化学气相沉积(PECVD)所形成。掩模层202b于后续的光刻工艺中被用以作为硬掩模(hard mask)。接着,于掩模层202b上形成具有预定图案的图案化光刻胶层204。
图2B绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S10以及图2A至图2B中,掩模层202b与接垫层202a没有被图案化光刻胶层204所覆盖的地方依序被蚀刻,而形成图案化掩模层202b'以及图案化接垫层202a',进而暴露出下面的衬底200。以图案化掩模层202b'、图案化接垫层202a'以及图案化光刻胶层204为掩模,衬底200的部分被暴露出来且被蚀刻而形成多个沟槽206与多个半导体鳍片208。于衬底200被图案化之后,图案化掩模层202b'、图案化接垫层202a'以及图案化光刻胶层204覆盖住半导体鳍片208。两相邻的沟槽206是透过间隙S而隔开。举例来说,位于沟槽206之间的间隙S可小于约30奈米。换言之,两相邻的沟槽206是由对应的半导体鳍片208而隔开。
半导体鳍片208的高度与沟槽206的深度介于约5奈米至约500奈米之间。于形成沟槽206与半导体鳍片208之后,移除图案化光刻胶层204。于一实施例中,可进行清洁工艺以移除半导体衬底200a和半导体鳍片208的原生氧化物。清洁过程可以用氢氟酸稀释溶液或其它适当的清洗液来进行。
图2C绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S12与图2B至图2C中,于衬底200a上形成绝缘材料210以覆盖半导体鳍片208并且填满沟槽206。除了半导体鳍片208,绝缘材料210更覆盖图案化接垫层202a'与图案化掩模层202b'。绝缘材料210可包括氧化硅、氮化硅、氧氮化硅、旋涂介电材料或低介电常数的介电材料。绝缘材料210可透过高密度等离子化学气相沉积(HDP-CVD)、亚大气压化学气相沉积(ASCVD)或旋转涂布来形成。
图2D绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S12中与图2C至图2D中,举例而言,进行化学机械研磨工艺以移除绝缘材料210的一部分、图案化掩模层202b'和图案化的接垫层202a'直到半导体鳍片208被暴露出来。如图2D所示,于研磨绝缘材料210之后,研磨后的绝缘材料210的顶表面实质上与半导体鳍片208的顶表面T2共平面。
图2E绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S12中与图2D至图2E中,透过蚀刻工艺移除部分填充于沟槽206内已被研磨的绝缘材料210,以于衬底200a上形成多个绝缘体210a,而半导体鳍片208位于两相邻的绝缘体210a之间。于一实施例中,蚀刻工艺可以是采用氢氟酸(HF)的湿法蚀刻工艺或者是干式蚀刻工艺。绝缘体210a的上表面T1低于半导体鳍片208的顶表面T2。换言之,半导体鳍片208突出于绝缘体210a的上表面T1,且半导体鳍片208的侧壁SW因而暴露出来。半导体鳍片208的顶表面T2与绝缘体210a的上表面T1之间具有高度差H,而高度差H介于约15奈米至约50奈米之间。
图2F绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S14与图2E至图2F中,于绝缘体210a形成之后,形成第一介电层212以共形地覆盖绝缘体210a的上表面T1、半导体鳍片208的顶表面T2以及半导体鳍片208的侧壁SW。于一实施例中,第一介电层212可包括氧化硅、氮化硅、氧氮化物或高介电常数的介电材料。高介电常数的介电材料包括金属氧化物。举例来说,用于高介电常数的介电材料的金属氧化物包括氧化物锂、铍、镁、钙、锶、钪、钇、锆、铪、铝、镧、铈、镨、钕、钐、铕、钆、镝、钬、铒、铥、镱、镥、与/或上述的混合物。于一实施例中,第一介电层212为厚度为约0.2奈米至5奈米的高介电常数的介电层。第一介电层212可以透过合适的方法,例如是原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、热氧化或UV臭氧氧化来形成。第一介电层212足够薄且具有良好的质量,可作为在短通道的鳍式场效晶体管的栅极介电层。
图2G绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S16与图2F至图2G中,于第一介电层212上形成至少一第一拟栅极条214a与至少一第二拟栅极条214b,其中第一拟栅极条214a及第二拟栅极条214b的长度方向D1与半导体鳍片208的长度方向D2不同。沿着长度方向D1,第一拟栅极条214a的第一宽度W1小于第二拟栅极条214b的第二宽度W2。第一拟栅极条214a与第二拟栅极条214b的长度方向D1例如是垂直于半导体鳍片208的长度方向D2。图2G中的第一拟栅极条214a与第二拟栅极条214b的数量仅为示意,于其他实施例中,可根据实际的设计需求来形成两个或更多的第一拟栅极条214a与第二拟栅极条214b。第一拟栅极条214a与第二拟栅极条214b包括含硅材料,例如是多晶硅、非晶硅或上述的组合。于一实施例中,第一拟栅极条214a的第一宽度W1介于5奈米至50奈米之间,而第二拟栅极条214b的第二宽度W2则大于50奈米。
在图1的步骤S18与图2G中,于形成第一拟栅极条214a与第二拟栅极条214b之后,于第一拟栅极条214a的侧壁与第二拟栅极条214b的侧壁上分别形成一对第一间隙物216a与一对第二间隙物216b。如图2H所示,第一间隙物216a形成在第一介电层212上且沿着第一拟栅极条214a的侧壁延伸,而第二间隙物216b形成在第一介电层212上且沿着第二拟栅极条214b的侧壁延伸。第一间隙物216a与第二间隙物216b是由介电材料所形成,例如是氮化硅或碳氮氧化硅(SiCON)。第一间隙物216a与第二间隙物216b可包括单层或多层结构。由于第一间隙物216a是由第一拟栅极条214a隔开,因此第一间隙物216a之间的第一间隙G1实质上等于第一拟栅极条214a的第一宽度W1。同样地,第二间隙物216b之间的第二间隙G2实质上等于第二拟栅极条214b的第二宽度W2。
图2H绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。如图2H所示,于第一介电层212上形成多个层间介电层218。层间介电层218的上表面实质上与第一拟栅极条214a的上表面以及第二拟栅极条214b的上表面实质上共平面。于其他实施例中,于层间介电层218形成以前,可以先进行一些工艺(例如是第一介电层212的图案化工艺、鳍片凹槽(fin recessing)工艺、半导体鳍片上的应变源极/漏极外延(strained source/drainepitaxial)工艺以及硅化(silicidation)工艺等)。上述工艺的细节被省略。
图2I至2J绘示为半导体器件于制作方法的不同阶段的透视图。在图1的步骤S20及步骤S22与图2H至图2J中,移除第一拟栅极条214a与第二拟栅极条214b。于一实施例中,移除第一拟栅极条214a与第二拟栅极条214b的方法例如是蚀刻工艺。透过选择适当的蚀刻液,层间介电层218、第一介电层212、第一间隙物216a以及第二间隙物216b在第一拟栅极条214a和第二拟栅极条214b的移除过程中便不会被显着地损坏。于移除第一拟栅极条214a之后,第一间隙物216a之间形成第一凹槽C1,而第一介电层212的部分因此而被暴露出来。半导体鳍片208对应第一凹槽C1的此部分(绘示在于图2J的右侧部分)仍然被第一介电层212所覆盖。
如图2J所示,移除第一介电层212的部分以及位于第二拟栅极条214b的部分绝缘体210a直到第二间隙物216b的侧壁、半导体鳍片208的部分以及绝缘体210a的部分被暴露出来而形成第二凹槽C2。于第二凹槽C2的形成过程中,被第一凹槽C1所暴露出的第一介电层212例如是被良好地保护以免于被移除。于一实施例中,被第一凹槽C1所暴露出的第一介电层212可被光刻胶层所保护及覆盖以免于被移除。
于第二凹槽C2的形成过程中,第一介电层212会被蚀刻且稍微过度蚀刻。于其他实施例中,于形成第二凹槽C2的过程中,绝缘体210a可以作为蚀刻终止层,以便控制第二凹槽C2的轮廓。于第二凹槽C2形成之后,半导体鳍片208对应第二凹槽C2的部分(绘示在图2J的左侧部分)会被暴露出来。值得注意的是,沿着半导体鳍片208的长度方向D2,半导体鳍片208对应于第二凹槽C2的部份(绘示在图2J的左侧部分)具有较大尺寸,而半导体鳍片208对应于第一凹槽C1的部分(绘示在图2J的右侧部分)具有较小尺寸。
图2K绘示为半导体器件于制作方法的不同阶段中的一个阶段的透视图。在图1的步骤S24与图2J至图2K中,于形成第二凹槽C2之后,于第二凹槽C2内形成第二介电层220以选择性地覆盖住半导体鳍片208被暴露出来的部分,其中第一介电层212的厚度小于第二介电层220的厚度。第二介电层220选择性地成长于半导体鳍片208被暴露出来的部分上,据此,形成在第二凹槽C2内的第二介电层220没有完全覆盖住绝缘体210a所被暴露出来的部分,而第二间隙物216b的侧壁仅仅一部分与第二介电层220接触。于一实施例中,第二介电层220可以包括氧化硅、氮化硅、氧氮化物或高介电常数的介电材料。高介电常数的介电材料包括金属氧化物。举例来说,用于高介电常数的介电材料的金属氧化物包括氧化物锂、铍、镁、钙、锶、钪、钇、锆、铪、铝、镧、铈、镨、钕、钐、铕、钆、镝、钬、铒、铥、镱、镥、与/或上述的混合物。于一实施例中,第二介电层220为具有厚度在大约5奈米到50奈米的高介电常数的介电层。第二介电层220可透过合适的方法如热氧化来形成。第二介电层220比第一介电层212更厚,适合用作在长通道的鳍式场效晶体管的栅极介电层。
如图2K至图2L所示,于第一凹槽C1内形成第一栅极222a,并且于第二凹槽C2内形成第二栅极222b以覆盖第二介电层220、第二间隙物216b的侧壁以及绝缘体210a被暴露出来的部分。第二介电层220配置于第二栅极222b与半导体鳍片208被暴露出的部分之间。第二介电层220不存在于第二栅极222b与第二间隙物216b之间。于一些实施例中,第一栅极222a与第二栅极222b可包括一层或多层结构。于一些实施例中,第一栅极222a与第二栅极222b可包括金属,例如是铝、铜、钨、钛、钽、氮化钛、铝化钛、氮化铝钛、氮化钽、硅化镍、硅化钴、其他具有功函数且与基底材料兼容的导电材料,或上述材料的组合。于一些实施例中,第一栅极222a与第二栅极222b的厚度例如是介于约30奈米至约60奈米之间。第一栅极222a与第二栅极222b可透过合适的方法,例如原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、电镀或上述工艺的组合来形成。
于一实施例中,第一栅极222a的宽度W3为5奈米至50奈米,而第二栅极222b的宽度W4大于50奈米。与第一栅极222重叠且被第一栅极222a覆盖的部分半导体鳍片208可作为短通道鳍式场效晶体管的通道,而与第二栅极222b重叠且被第二栅极222b覆盖的部分半导体鳍片208可作为长通道鳍式场效晶体管的通道。
如图2G与图2L所示,第一栅极222a的宽度W3、第一拟栅极条214a的宽度W1以及第一间隙物216a之间的第一间隙G1实质上相同(即W3=W1=G1)。第二栅极222b的宽度W4、第二拟栅极条214b的宽度W2以及第二间隙物216b之间的第二间隙G2实质上相同(即W4=W2=G2)。
在短通道的鳍式场效晶体管中(绘示于图2L的右侧部分),较薄的第一介电层212被形成以用作栅极介电层;在长通道的鳍式场效晶体管中(绘示于图2L的左侧部分),较薄的第一介电层212被移除,且被选择性地成长以及较厚的第二介电层220所取代。由于第二介电层220具有较大的厚度,半导体鳍片208被暴露出来的部分会被良好地包覆以防止第二栅极222b的漏电路径(leakage path)和挤出路径(extrusion path)的形成。因此,栅极置换工艺的工艺窗口可被放大。据此,可提高半导体器件的良率(yield)和可靠性。
根据一些实施方案中,鳍式场效应晶体的制造方法包括至少以下步骤。图案化衬底以形成多个位于衬底内的沟槽以及位于沟槽之间的半导体鳍片。于沟槽内形成多个绝缘体。形成第一介电层以覆盖半导体鳍片与绝缘体。于第一介电层上形成拟栅极条,拟栅极条的长度方向不同于半导体鳍片的长度方向。于拟栅极条的多个侧壁上形成一对间隙物。移除拟栅极条与位于拟栅极条下方的第一介电层直到间隙物的多个侧壁、半导体鳍片的一部分以及绝缘体的多个部分被暴露出来。形成第二介电层以选择性地覆盖半导体鳍片被暴露出来的部分,其中第一介电层的厚度小于第二介电层的厚度。于间隙物之间形成栅极以覆盖第二介电层、间隙物被暴露出的侧壁以及绝缘体被暴露出来的部分。
在所述鳍式场效应晶体的制造方法中,于拟栅极条移除之前,于第一介电层上形成间隙物,且间隙物沿着拟栅极条的侧壁延伸。
在所述鳍式场效应晶体的制造方法中,移除拟栅极条与第一介电层以形成位于间隙物之间的凹槽,而第二介电层形成于凹槽所暴露出的半导体鳍片的部分上。
在所述鳍式场效应晶体的制造方法中,拟栅极条、第一介电层以及部分的绝缘体是在形成凹槽的蚀刻工艺中被移除。
在所述鳍式场效应晶体的制造方法中,第二介电层是透过热氧化而形成。
在所述鳍式场效应晶体的制造方法中,具有宽度大于50奈米的栅极形成于间隙物之间以覆盖第二介电层、间隙物被暴露出的侧壁以及绝缘体被暴露出的部分。
在所述鳍式场效应晶体的制造方法中,鳍式场效晶体管的制作方法,更包括:于移除拟栅极条之前,形成源极与漏极于半导体鳍片上。
根据其他实施例中,半导体器件的制作方法至少包括以下步骤。图案化衬底以形成多个位于衬底内的沟槽以及多个位于沟槽之间的半导体鳍片。形成多个绝缘体于沟槽内。形成第一介电层以覆盖半导体鳍片与绝缘体。形成至少一第一拟栅极条与至少一第二拟栅极条于第一介电层上,其中第一拟栅极条与第二拟栅极条的长度方向不同于半导体鳍片的长度方向,且第一拟栅极条的宽度小于第二拟栅极条的宽度。分别形成一对第一间隙物以及一对第二间隙物于第一拟栅极条的多个侧壁上以及第二拟栅极条的多个侧壁上。移除第一拟栅极条以形成第一凹槽。移除第二拟栅极条与位于第二拟栅极条下方的第一介电层直到第二间隙物的多个侧壁、半导体鳍片的一部分以及绝缘体的多个部分被暴露出来,而形成第二凹槽。形成第二介电层于第二凹槽内以选择性地覆盖半导体鳍片被暴露出来的部分,其中第一介电层的厚度小于第二介电层的厚度。形成第一栅极于第一凹槽内。形成第二栅极于第二凹槽内以覆盖第二介电层,第二间隙物被暴露出来的侧壁以及绝缘体被暴露出来的部分。
在所述半导体器件的制造方法中,第一拟栅极条与第二拟栅极条于同一步骤中移除。
在所述半导体器件的制造方法中,形成第一拟栅极条与第二拟栅极条的方法,包括:形成导电层于第一介电层上;以及图案化导电层以形成具有第一宽度的第一导电条以及具有第二宽度的第二导电条,第一宽度小于第二宽度。
在所述半导体器件的制造方法中,第一间隙物形成于第一介电层上且沿着第一拟栅极条的侧壁延伸,第二间隙物形成于第一介电层上且沿着第二拟栅极条的侧壁延伸。
在所述半导体器件的制造方法中,移除第二拟栅极条,位于第二拟栅极条下方的第一介电层以及部分的绝缘体是在形成第二凹槽的蚀刻工艺中。
在所述半导体器件的制造方法中,第二介电层是透过热氧化而形成。
在所述半导体器件的制造方法中,具有宽度介于5奈米至50奈米的第一栅极形成于第一凹槽内,而具有宽度大于50奈米的第二栅极形成于第二凹槽内,以覆盖第二介电层、第二间隙物的被暴露出来的侧壁以及绝缘体被暴露出来的部分。
在所述半导体器件的制造方法中,半导体器件的制作方法,更包括:于移除第一拟栅极条与第二拟栅极条之前,形成多个源极与多个漏极于半导体鳍片上。
根据其他实施例,半导体器件包括衬底、多个绝缘体、第一介电层、一对第一间隙物、第一栅极、一对第二间隙物、第二介电层和第二栅极。衬底包括多个沟槽以及多个位于沟槽之间的半导体鳍片。绝缘体位于沟槽内。第一介电层覆盖半导体鳍片与绝缘体,且暴露出半导体鳍片的一部分以及绝缘体的部分。第一间隙物配置于第一介电层上。第一栅极配置于第一介电层上且位于第一间隙物之间。第二间隙物配置于第一介电层上。第二介电层配置于第二间隙物之间,其中第二介电层选择性覆盖半导体鳍片被暴露出来的部分,而第一介电层的厚度小于第二介电层的厚度。第二栅极配置于第二间隙物之间以覆盖第二介电层、第二间隙物被暴露出的侧壁以及绝缘体被暴露出来的部分,其中第一栅极的宽度小于第二栅极的宽度。
在所述半导体器件中,第一介电层的厚度介于0.2奈米至5奈米之间,且第二介电层的厚度介于5奈米至50奈米之间。
在所述半导体器件中,第一栅极的宽度介于5奈米至50奈米之间,而第二栅极的宽度大于50奈米。
在所述半导体器件中,第二栅极的宽度实质上等于第二间隙物之间的一间隙。
在所述半导体器件中,第二介电层配置于半导体鳍片被暴露出出来的部分与第二栅极之间。
以上概述了数个实施例的特征,使本领域具有通常知识者可更佳了解本发明的态样。本领域具有通常知识者应理解,其可轻易地使用本发明作为设计或修改其他工艺与结构的依据,以实行本文所介绍的实施例的相同目的及/或达到相同优点。本领域具有通常知识者还应理解,这种等效的配置并不悖离本发明的精神与范畴,且本领域具有通常知识者在不悖离本发明的精神与范畴的情况下可对本文做出各种改变、置换以及变更。

Claims (1)

1.一种鳍式场效晶体管的制作方法,其特征在于,包括:
图案化衬底以形成多个位于所述衬底内的沟槽以及位于所述多个沟槽之间的半导体鳍片;
于所述多个沟槽内形成多个绝缘体;
形成第一介电层以覆盖所述半导体鳍片与所述多个绝缘体;
于所述第一介电层上形成拟栅极条,所述拟栅极条的长度方向不同于所述半导体鳍片的长度方向;
于所述拟栅极条的多个侧壁上形成一对间隙物;
移除所述拟栅极条与位于所述拟栅极条下方的所述第一介电层直到所述一对间隙物的多个侧壁、所述半导体鳍片的一部分以及所述多个绝缘体的多个部分被暴露出来;
形成第二介电层以选择性地覆盖所述半导体鳍片被暴露出来的所述部分,其中所述第一介电层的厚度小于所述第二介电层的厚度;以及
于所述一对间隙物之间形成栅极以覆盖所述第二介电层、所述一对间隙物被暴露出的所述多个侧壁以及所述多个绝缘体被暴露出来的部分。
CN201611032568.7A 2015-11-16 2016-11-15 鳍式场效晶体管的制作方法 Active CN106711046B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/941,673 US10020304B2 (en) 2015-11-16 2015-11-16 Fin field effect transistor, semiconductor device and fabricating method thereof
US14/941,673 2015-11-16

Publications (2)

Publication Number Publication Date
CN106711046A true CN106711046A (zh) 2017-05-24
CN106711046B CN106711046B (zh) 2021-08-06

Family

ID=58692155

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611032568.7A Active CN106711046B (zh) 2015-11-16 2016-11-15 鳍式场效晶体管的制作方法

Country Status (3)

Country Link
US (3) US10020304B2 (zh)
CN (1) CN106711046B (zh)
TW (1) TWI682467B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111630664A (zh) * 2017-11-21 2020-09-04 朗姆研究公司 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
CN112909090A (zh) * 2019-12-04 2021-06-04 吴俊鹏 环绕式栅极组件及其制造方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
CN107452679B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10460995B2 (en) * 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
CN109698119B (zh) * 2017-10-23 2021-02-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和半导体器件
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11411095B2 (en) 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
KR102535087B1 (ko) * 2018-04-20 2023-05-19 삼성전자주식회사 반도체 장치
US10586860B2 (en) 2018-05-03 2020-03-10 Globalfoundries Inc. Method of manufacturing finfet devices using narrow and wide gate cut openings in conjunction with a replacement metal gate process
US10867860B2 (en) * 2018-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFET device
US10886269B2 (en) * 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11508735B2 (en) * 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
EP3813124A1 (en) 2019-10-22 2021-04-28 Imec VZW Split replacement metal gate integration
US20220328641A1 (en) * 2021-04-09 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around structures and manufacturing method thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1773707A (zh) * 2004-01-06 2006-05-17 台湾积体电路制造股份有限公司 集成电路及其制造方法
US20130244414A1 (en) * 2012-03-15 2013-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device having dual gate dielectric layer
US20140001575A1 (en) * 2012-06-27 2014-01-02 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
CN104659097A (zh) * 2013-11-22 2015-05-27 国际商业机器公司 FinFET和形成该FinFET的方法
US20150147860A1 (en) * 2013-11-27 2015-05-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US20150255458A1 (en) * 2014-03-06 2015-09-10 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US20150287711A1 (en) * 2014-04-04 2015-10-08 Samsung Electronics Co., Ltd. Semiconductor device
US20150303284A1 (en) * 2014-04-16 2015-10-22 International Business Machines Corporation Punch through stopper in bulk finfet device
US20150340362A1 (en) * 2012-07-30 2015-11-26 Globalfoundries Inc. Transistor devices with high-k insulation layers

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3851752B2 (ja) * 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
JP2004087960A (ja) * 2002-08-28 2004-03-18 Fujitsu Ltd 半導体装置の製造方法
US7183184B2 (en) * 2003-12-29 2007-02-27 Intel Corporation Method for making a semiconductor device that includes a metal gate electrode
US7157378B2 (en) * 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7230287B2 (en) * 2005-08-10 2007-06-12 International Business Machines Corporation Chevron CMOS trigate structure
KR100652433B1 (ko) * 2005-09-08 2006-12-01 삼성전자주식회사 다중 비트 저장이 가능한 비휘발성 메모리 소자 및 그 제조방법
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US20080079084A1 (en) * 2006-09-28 2008-04-03 Micron Technology, Inc. Enhanced mobility MOSFET devices
KR20080046438A (ko) * 2006-11-22 2008-05-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7700993B2 (en) * 2007-11-05 2010-04-20 International Business Machines Corporation CMOS EPROM and EEPROM devices and programmable CMOS inverters
DE102007063270B4 (de) * 2007-12-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren zur Verringerung zur Erzeugung von Ladungseinfangstellen in Gatedielektrika in MOS-Transistoren durch Ausführen einer Wasserstoffbehandlung
DE102008059500B4 (de) * 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8269283B2 (en) * 2009-12-21 2012-09-18 Intel Corporation Methods and apparatus to reduce layout based strain variations in non-planar transistor structures
US20110147848A1 (en) * 2009-12-23 2011-06-23 Kuhn Kelin J Multiple transistor fin heights
JP5410398B2 (ja) * 2010-01-13 2014-02-05 パナソニック株式会社 半導体装置
DE102010003555B4 (de) * 2010-03-31 2019-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Aluminiumsicherungen in einem Halbleiterbauelement, das Metallgateelektrodenstrukturen aufweist
US8450169B2 (en) * 2010-11-29 2013-05-28 International Business Machines Corporation Replacement metal gate structures providing independent control on work function and gate leakage current
CN102487085B (zh) * 2010-12-01 2014-04-23 中国科学院微电子研究所 半导体器件及其制造方法
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8723272B2 (en) * 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8623716B2 (en) * 2011-11-03 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor devices and methods of forming the same
US8987824B2 (en) * 2011-11-22 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor devices
US8901665B2 (en) * 2011-12-22 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
WO2013101007A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finfet) process
US9048260B2 (en) * 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
EP2802371A1 (en) * 2012-01-10 2014-11-19 Sanofi-Aventis Deutschland GmbH Guiding assembly for intradermal injection
US8809178B2 (en) * 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9666690B2 (en) * 2012-05-02 2017-05-30 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8629511B2 (en) * 2012-05-15 2014-01-14 International Business Machines Corporation Mask free protection of work function material portions in wide replacement gate electrodes
US9012975B2 (en) * 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
CN103531453B (zh) * 2012-07-02 2016-12-21 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其制作方法
US8940626B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
CN103545183B (zh) * 2012-07-12 2016-06-29 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制作方法
US8772146B2 (en) * 2012-08-28 2014-07-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20140061792A1 (en) * 2012-08-28 2014-03-06 International Business Machines Corporation Field effect transistor devices with recessed gates
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9318567B2 (en) * 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US8803253B2 (en) * 2012-09-11 2014-08-12 Texas Instruments Incorporated Replacement metal gate process for CMOS integrated circuits
US20140070328A1 (en) * 2012-09-12 2014-03-13 Toshiba America Electronic Components, Inc. Semiconductor device and method of fabricating the same
US8753970B2 (en) * 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US20140103452A1 (en) * 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US8809139B2 (en) * 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
JP5989538B2 (ja) * 2012-12-25 2016-09-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9013003B2 (en) * 2012-12-27 2015-04-21 United Microelectronics Corp. Semiconductor structure and process thereof
CN103928333B (zh) * 2013-01-15 2019-03-12 中国科学院微电子研究所 半导体器件及其制造方法
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8826213B1 (en) * 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) * 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9231045B2 (en) * 2013-04-30 2016-01-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits with polycrystalline silicon resistor structures using a replacment gate process flow, and the integrated circuits fabricated thereby
US8877625B1 (en) * 2013-05-14 2014-11-04 Globalfoundries Inc. Methods of forming semiconductor devices with different insulation thickness on the same semiconductor substrate and the resulting devices
KR102078187B1 (ko) * 2013-05-31 2020-02-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9000536B2 (en) * 2013-06-28 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor having a highly doped region
US9847404B2 (en) * 2013-07-06 2017-12-19 Semiwise Limited Fluctuation resistant FinFET
US20150021681A1 (en) * 2013-07-16 2015-01-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
US9349850B2 (en) * 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
KR102073967B1 (ko) * 2013-07-30 2020-03-02 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9257348B2 (en) * 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
US8951868B1 (en) * 2013-11-05 2015-02-10 International Business Machines Corporation Formation of functional gate structures with different critical dimensions using a replacement gate process
US9093302B2 (en) * 2013-11-13 2015-07-28 Globalfoundries Inc. Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
KR102105363B1 (ko) * 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104733312B (zh) * 2013-12-18 2018-09-07 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102155511B1 (ko) * 2013-12-27 2020-09-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9184087B2 (en) * 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9219116B2 (en) * 2014-01-15 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9515186B2 (en) * 2014-01-23 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9627375B2 (en) * 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9553171B2 (en) * 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9401415B2 (en) * 2014-02-14 2016-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9620621B2 (en) * 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
CN104867873B (zh) * 2014-02-21 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR102212267B1 (ko) * 2014-03-19 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9202812B2 (en) * 2014-03-21 2015-12-01 International Business Machines Corporation Abrupt source/drain junction formation using a diffusion facilitation layer
US9431537B2 (en) * 2014-03-26 2016-08-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9171934B2 (en) * 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US10468528B2 (en) * 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9525069B2 (en) * 2014-04-21 2016-12-20 Globalfoundries Inc. Structure and method to form a FinFET device
CN105023843A (zh) * 2014-04-22 2015-11-04 联华电子股份有限公司 半导体元件的制作方法
CN105097701B (zh) * 2014-04-25 2017-11-03 中芯国际集成电路制造(上海)有限公司 静态存储单元的形成方法
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
US9530665B2 (en) * 2014-06-24 2016-12-27 International Business Machines Corporation Protective trench layer and gate spacer in finFET devices
US9190272B1 (en) * 2014-07-15 2015-11-17 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US9536985B2 (en) * 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
TWI600159B (zh) * 2014-10-01 2017-09-21 聯華電子股份有限公司 半導體元件及其製作方法
US10134861B2 (en) * 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9362001B2 (en) * 2014-10-14 2016-06-07 Ememory Technology Inc. Memory cell capable of operating under low voltage conditions
US9478634B2 (en) * 2014-11-07 2016-10-25 Globalfoundries Inc. Methods of forming replacement gate structures on finFET devices and the resulting devices
US9305923B1 (en) * 2014-12-02 2016-04-05 International Business Machines Corporation Low resistance replacement metal gate structure
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9590032B2 (en) * 2014-12-12 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-FET device and manufacturing method thereof
US9564501B2 (en) * 2014-12-23 2017-02-07 Stmicroelectronics, Inc. Reduced trench profile for a gate
CN105810729B (zh) * 2014-12-29 2018-09-11 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
US9502567B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9478660B2 (en) * 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US9929242B2 (en) * 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9425318B1 (en) * 2015-02-27 2016-08-23 GlobalFoundries, Inc. Integrated circuits with fets having nanowires and methods of manufacturing the same
US9530869B2 (en) * 2015-03-10 2016-12-27 Globalfoundries Inc. Methods of forming embedded source/drain regions on finFET devices
US9570315B2 (en) * 2015-03-18 2017-02-14 United Microelectronics Corporation Method of interfacial oxide layer formation in semiconductor device
KR102455149B1 (ko) * 2015-05-06 2022-10-18 삼성전자주식회사 반도체 소자의 제조 방법
US9496183B1 (en) * 2015-05-07 2016-11-15 International Business Machines Corporation Selective thickening of pFET dielectric
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9748394B2 (en) * 2015-05-20 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a multi-portioned gate stack
KR20160141034A (ko) * 2015-05-27 2016-12-08 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR102214096B1 (ko) * 2015-08-06 2021-02-09 삼성전자주식회사 반도체 장치 제조 방법
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9570580B1 (en) * 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
CN106653691A (zh) * 2015-11-04 2017-05-10 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
CN106684144B (zh) * 2015-11-05 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构的制造方法
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9412616B1 (en) * 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
US9461044B1 (en) * 2015-11-30 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9954081B2 (en) * 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
EP4071787B1 (en) * 2015-12-18 2023-09-27 Floadia Corporation Memory cell, nonvolatile semiconductor storage device, and method for manufacturing nonvolatile semiconductor storage device
US9704969B1 (en) * 2015-12-31 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin semiconductor device having multiple gate width structures
US20170200803A1 (en) * 2016-01-11 2017-07-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9773792B1 (en) * 2016-03-25 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programming cell
EP3244447A1 (en) * 2016-05-11 2017-11-15 IMEC vzw Method for forming a gate structure and a semiconductor device
US9741717B1 (en) * 2016-10-10 2017-08-22 International Business Machines Corporation FinFETs with controllable and adjustable channel doping
US10164063B2 (en) * 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with protection layer
US10242982B2 (en) * 2017-03-10 2019-03-26 Globalfoundries Inc. Method for forming a protection device having an inner contact spacer and the resulting devices
CN108807532B (zh) * 2017-04-28 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10475895B2 (en) * 2017-05-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10170317B1 (en) * 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10461080B2 (en) * 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a FinFET device
US10535517B2 (en) * 2018-03-23 2020-01-14 International Business Machines Corporation Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1773707A (zh) * 2004-01-06 2006-05-17 台湾积体电路制造股份有限公司 集成电路及其制造方法
US20130244414A1 (en) * 2012-03-15 2013-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device having dual gate dielectric layer
US20140001575A1 (en) * 2012-06-27 2014-01-02 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
US20150340362A1 (en) * 2012-07-30 2015-11-26 Globalfoundries Inc. Transistor devices with high-k insulation layers
CN104659097A (zh) * 2013-11-22 2015-05-27 国际商业机器公司 FinFET和形成该FinFET的方法
US20150147860A1 (en) * 2013-11-27 2015-05-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US20150255458A1 (en) * 2014-03-06 2015-09-10 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
US20150287711A1 (en) * 2014-04-04 2015-10-08 Samsung Electronics Co., Ltd. Semiconductor device
US20150303284A1 (en) * 2014-04-16 2015-10-22 International Business Machines Corporation Punch through stopper in bulk finfet device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111630664A (zh) * 2017-11-21 2020-09-04 朗姆研究公司 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
CN112909090A (zh) * 2019-12-04 2021-06-04 吴俊鹏 环绕式栅极组件及其制造方法

Also Published As

Publication number Publication date
US20180315754A1 (en) 2018-11-01
TWI682467B (zh) 2020-01-11
US20170141106A1 (en) 2017-05-18
TW201719769A (zh) 2017-06-01
CN106711046B (zh) 2021-08-06
US10622353B2 (en) 2020-04-14
US11699701B2 (en) 2023-07-11
US10020304B2 (en) 2018-07-10
US20200243520A1 (en) 2020-07-30

Similar Documents

Publication Publication Date Title
CN106711046A (zh) 鳍式场效晶体管的制作方法
CN106887389A (zh) 鳍式场效晶体管的制作方法
TWI579904B (zh) 製造finfet裝置的方法
TWI711086B (zh) 用於製造鰭狀場效電晶體的方法、半導體裝置及用於製造其的方法
TWI624875B (zh) 鰭式場效應電晶體及其製造方法
US10868179B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
US9741716B1 (en) Forming vertical and horizontal field effect transistors on the same substrate
US8900957B2 (en) Method of dual epi process for semiconductor device
TWI616954B (zh) 鰭式場效應電晶體及其製造方法
US20110045648A1 (en) Methods for fabricating bulk finfet devices having deep trench isolation
CN111223779B (zh) 半导体结构及其形成方法
US20150093861A1 (en) Method for the formation of cmos transistors
CN104733315B (zh) 半导体结构的形成方法
US20140015056A1 (en) Multi-gate mosfet and process thereof
TW201724280A (zh) 半導體元件的製造方法
KR100541054B1 (ko) 하드마스크 스페이서를 채택하여 3차원 모오스 전계효과트랜지스터를 제조하는 방법
CN106531803A (zh) 通过凹槽轮廓控制的增强的体积控制
JP2009055027A (ja) Mosトランジスタの製造方法、および、これにより製造されたmosトランジスタ
US10790282B2 (en) Semiconductor devices
CN107046056B (zh) 鳍式场效应晶体管制造方法
CN107706110B (zh) FinFET器件的制造方法
US20200312725A1 (en) Method of forming a semiconductor device
KR20060046879A (ko) 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
CN117995889A (zh) 半导体装置及其制造方法
CN111799222A (zh) 一种用于形成半导体器件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant