CN106486418A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN106486418A
CN106486418A CN201510859871.3A CN201510859871A CN106486418A CN 106486418 A CN106486418 A CN 106486418A CN 201510859871 A CN201510859871 A CN 201510859871A CN 106486418 A CN106486418 A CN 106486418A
Authority
CN
China
Prior art keywords
interlayer dielectric
layer
dielectric layer
protective layer
insulating barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510859871.3A
Other languages
English (en)
Other versions
CN106486418B (zh
Inventor
林翔伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106486418A publication Critical patent/CN106486418A/zh
Application granted granted Critical
Publication of CN106486418B publication Critical patent/CN106486418B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)

Abstract

本发明提供一种半导体装置及其制造方法,半导体装置包括金属线形成于基板上的第一层间介电层中、第一绝缘层覆盖着部分的金属线及第一层间介电层、第二层间介电层在相邻两个金属线之间的凹部中具有气隙、以及保护层形成于未形成凹部的一部分第一层间介电层的上表面中。因此,此可抑制自由基扩散至第一绝缘层所覆盖的层间介电层,从而防止漏电及改良装置的可靠性。

Description

半导体装置及其制造方法
技术领域
本发明涉及一种半导体装置,更特别涉及一种在金属线之间具有气隙的半导体装置。
背景技术
随着半导体工业引进了具有更高效能及更大功能性的新一代集成电路(IC),IC元件的形成密度增加,而IC组件或元件之间的尺寸及间隔减小,继而引发各种问题。举例而言,对于任何两个相邻导电特征,当导电特征之间的距离减小时,所得电容(寄生电容)增加。增加的电容导致功率消耗增加及阻容(RC)时间常数的增加(亦即,信号延迟增加)。两个相邻导电特征(例如,金属线)之间的电容为导电特征之间的空间中所填充的绝缘材料的介电常数(k值)的函数(亦为导电特征之间的距离及导电特征的侧表面的尺寸的函数)。因此,半导体IC效能及功能性的不断改良取决于具有低k值的绝缘(介电)材料的发展。由于具有最低介电常数的物质为空气(k=1.0),气隙的形成可进一步减小金属线层的有效k值。
发明内容
根据本发明的一个实施例,制造半导体装置的方法包括形成第一层间介电层于基板上方。第一凹部会形成于第一层间介电层中。金属线形成于第一凹部中。保护层会形成于金属线之间的第一层间介电层的表面中。第二凹部形成于金属线之间的第一层间介电层中。第二层间介电层会形成以使得气隙形成于第二凹部中。
根据本发明的另一实施例,制造半导体装置的方法包括形成第一层间介电层于基板之上。保护层形成于第一层间介电层上。第一凹部会藉由蚀刻第一层间介电层及保护层而形成。金属线形成于第一凹部中。第一绝缘层会形成于金属线及保护层上方。藉由蚀刻相邻金属线之间的第一绝缘层、保护层及第一层间介电层,第二凹部可形成。第二绝缘层至少形成于第二凹部中。第二层间介电层会形成以使得气隙形成于第二凹部中。
根据本发明的另一实施例,半导体装置包括金属线形成于基板上的第一层间介电层中、及第一绝缘层覆盖于金属线及第一层间介电层的多个部分。具有气隙的第二层间介电层是位于相邻两个该金属线之间的凹部中,而保护层形成于未形成凹部的第一层间介电层的上表面的一部分中。
以下结合附图和具体实施例对本发明进行详细描述,但不作为对本发明的限定。
附图说明
当结合随附的附图进行阅读时,本发明的详细描述将能被充分地理解。应注意,根据业界标准实务,各特征并非按比例绘制且仅用于图示目的。事实上,出于论述清晰的目的,可任意增加或减小各特征的尺寸。
图1至图9显示了根据本发明一实施例的用于制造具有气隙的半导体装置的示例性连续工艺。
图10至图12显示了根据本发明另一实施例的用于制造具有气隙的半导体装置的示例性连续工艺。
图13A与图13B显示了自由基扩散至层间介电层中。
其中,附图标记
1 基板
5 下层结构
10 第一层间介电(ILD)层
10A 下部第一层间介电(ILD)层
10B 上部第一层间介电(ILD)层
12 蚀刻终止层
15 第一凹部
15’ 第一凹部
20 金属线
20A 第一线
20B 第二线
20C 第三线
20D 第四线
25A 第二凹部
25B 第二凹部
30 保护层
30’ 保护层
30A 点
40 第一绝缘层
50 遮罩层
55 开口
60 第二绝缘层
70 第二层间介电(ILD)层
75A 气隙
75B 气隙
具体实施方式
应理解,以下揭示内容提供许多不同实施例或实例,以便实施本发明的不同特征。下文描述组件及排列的特定实施例或实例以简化本发明。当然,这些实例仅为示例性且并不欲为限制性。举例而言,元件的尺寸并不受限于所揭示的范围或值,但可取决于工艺条件及/或装置的所欲特性。此外,以下描述中在第二特征上方或第二特征上形成第一特征可包括以直接接触形成第一特征及第二特征的实施例,且亦可包括可在第一特征与第二特征之间插入形成额外特征以使得第一特征及第二特征可不处于直接接触的实施例。为了简明性及清晰性,可以不同尺度任意绘制各特征。
另外,为了便于描述,本文可使用空间相对性术语(诸如「之下」、「下方」、「下部」、「上方」、「上部」及类似者)来描述诸图中所图示的一元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了诸图所描绘的定向外,空间相对性术语意欲包含使用或操作中装置的不同定向。设备可经其他方式定向(旋转90度或处于其他定向上)且因此可同样解读本文所使用的空间相对性描述词。另外,术语「由……制成」可意谓「包含」或「由……组成」中任一者。
图1至图9显示了根据本发明的一实施例的用于制造具有气隙的半导体装置的示例性连续工艺的剖面图。图1至图9显示制造位于基板上方的金属线层(线位准)中的一者的示例性连续工艺。尽管存在核心结构,诸如位于基板与金属线层之间、构成半导体装置的晶体管或其他元件(例如,触点等)(以下称为「下层结构」),但为了简明性,图1至图9省略这些元件的细节图示。
如图1所示,第一层间介电(ILD)层10是形成于基板1上方的下层结构5之上。层间介电层亦可称为金属间介电(IMD)层。第一ILD层10是由例如一或更多层的低k介电材料制成。低k介电材料具有小于约4.0的k值(介电常数)。一些低k介电材料具有小于约3.5的k值及可具有小于约2.5的k值。
用于第一ILD层10的材料包括Si、O、C及/或H元素,诸如SiCOH及SiOC。可将有机材料(诸如聚合物)用于第一ILD层10。举例而言,第一ILD层10由一或更多层含碳材料、有机硅酸盐玻璃、含致孔剂材料及/或上述各者的组合制成。在一些实施例中,氮亦可被包含于第一ILD层10中。第一ILD层10可为多孔层。在一实施例中,第一ILD层10的密度小于约3g/cm3,及在其他实施例中可小于约2.5g/cm3。第一ILD层10的形成可藉由使用例如等离子体增强化学气相沉积(PECVD)、低压CVD(LPCVD)、原子层CVD(ALCVD)及/或旋涂技术。若是使用PECVD,则薄膜的沉积系是在基板温度为约25℃至约400℃范围内的及压力小于100托的情形下进行。
在一些实施例中,第一ILD层可包括层间绝缘薄膜及线间绝缘薄膜,以使得金属线主要形成在金属间绝缘薄膜中。层间绝缘薄膜可包括SiOC薄膜,而线间绝缘薄膜可包括四乙氧基硅烷(TEOS)薄膜。
如图2所示,第一凹部15是藉由使用包括微影及蚀刻工艺的图案化操作而形成于第一ILD层10中。在一些实施例中,一或更多个通孔(接触孔)(未图示)可连接至第一凹部的底部所形成的下层结构的一或更多个元件。
在一些实施例中,蚀刻终止层12可被使用以界定凹部15的底部。在此情况中,第一ILD层10可包括下部第一ILD层10A及上部第一ILD层10B,而蚀刻终止层12插入在两层之间。下部第一ILD层10A及上部第一ILD层10B的材料可相同或不同。若未使用蚀刻终止层,则凹部的深度可藉由控制凹部蚀刻的蚀刻时间或蚀刻速率来控制。
如图3所示,金属材料会形成于第一凹部中以形成金属线20。形成金属线的操作包括镶嵌工艺。在镶嵌工艺中,一或更多个金属材料层会形成于第一凹部15及第一ILD层10的上表面中,并进行平坦化操作(诸如化学机械研磨法及/或回蚀刻法)以移除第一ILD层10的上表面上所形成的金属材料的多个部分。
藉由CVD、物理气相沉积(PVD)及/或电镀,一或更多个金属材料层可形成。
金属线20的金属材料为一或多层的Al、Cu、Co、Mn、W、Ti、Ta、TiN、TaN、TiW、WN、TiAl、TiAlN、TaC、TaCN及TiSiN。举例而言,金属线20可包括由例如TiN及/或TaN制成的阻障层,及由例如Cu或Cu基材料制成的主体层。
在形成金属线20后,第一ILD层10的上表面会被修改以形成保护层30。保护层30具有比第一ILD层10更高的氮浓度,在一些实施例中可具有约50原子%或以上的氮浓度,及在其他实施例中可具有约60原子%或以上的氮浓度。保护层30的密度比第一ILD层10的密度高。在一个实施例中,保护层30的密度等于或大于约2.5g/cm3,及在其他实施例中,保护层30的密度可大于约3.0g/cm3。在某些实施例中,保护层30的密度可等于或大于约3.5g/cm3
在本发明的一实施例中,进行等离子体处理可修改第一ILD层10的上表面。可将氨气(NH3)及/或氮气(N2)可用作等离子体处理的源气体。等离子体处理可包括在小于100托的压力下及约100℃至约400℃温度下的直接等离子体或远端等离子体。可采用离子植入以将氮引入到第一ILD层10的表面中。
藉由等离子体处理,第一ILD层10的上表面的约0.5nm至20nm深度的一部分可被修改成保护层30。在一些实施例中,保护层的厚度可为约1nm至约10nm。如图4中所示,保护层30形成于金属线20之间的第一ILD层10的上表面。
在形成保护层30之后,第一绝缘层40会形成于金属线20及保护层30之上。第一绝缘层40作为第一蚀刻终止层及对金属线20的保护层。
第一绝缘层40包括一或更多层含有Si及O、N、C、B及或H的Si基绝缘材料或含有Al及O、N、C、B及或H的Al基绝缘材料。第一绝缘层的实例包括SiN、SiCO:H、SiCN:H、SiCON:H、AlOx、AINx及AlNxOy。第一绝缘层的介电常数可处于约4至约10的范围内。
在一些实施例中,第一绝缘层40的厚度处于约1nm至约50nm的范围内,及在其他实施例中可处于约5nm至约30nm的范围内。在其他实施例中,第一绝缘层40的密度小于约3g/cm3,及在其他实施例中,此密度可小于约2.5g/cm3
第一绝缘层40的形成可藉由使用例如PECVD、LPCVD、ALCVD及/或旋涂技术。在PECVD情况下,第一绝缘层40的沉积是在基板温度为约25℃至约400℃的范围内、及小于100托的压力下进行。
如图6及图7所示,在第一绝缘层40形成后,第二凹部25A及25B会形成在介于第一线20A与第二线20B之间、及介于第二线20B与第三线20C之间的第一ILD层10中。在此实施例中,并未有第二凹部形成于第三线20C与第四线20D之间,但此仅为一个范例,且第二凹部可取决于应用及设计而形成在两个线之间的任何空间中,或在其他实施例中,可在相邻线之间仅形成一个凹部。
第二凹部25A及25B是藉由使用包括微影及蚀刻工艺的图案化操作而形成于第一ILD层10中。如图6所示,遮罩(掩膜)层50(例如,抗蚀遮罩)形成于第一绝缘层40上,而开口55则藉由微影工艺而形成。随后,如图7中所示,藉由使用干式蚀刻及/或湿式蚀刻,开口55中的第一绝缘层40及第一ILD层10系被蚀刻以形成第二凹部25A及25B。由于此蚀刻主要蚀刻第一绝缘层40及第一ILD层10的绝缘材料而并未实质上蚀刻导线层的金属材料,第二凹部25A及25B是自对准形成于第二线20B侧边。第二凹部25A及25B的深度可与金属线20的底部处于相同高度上或可比金属线20的底部深。
形成第二凹部25A及25B的干式蚀刻使用含氟(F)及/或含氯(Cl)气体。F及Cl的自由基可穿透至金属线20与第一绝缘层40之间的介面中。如图13B所示,若保护层30并未形成于第一ILD层10的上表面中,则F及Cl的自由基可进一步穿透及扩散至第一ILD层10中(参看图13B中的箭头)。F及Cl的扩散可诱发漏电及降低半导体装置的可靠性。
相比之下,如图13A所示,在本实施例中,由于保护层30是形成于第一ILD层10的上表面中,F及Cl的自由基会在保护层30处终止(参看图13A中的箭头),向上累积,且并不穿透或扩散至第一ILD层10中。在图13A的点30A处,可能观察到F及/或Cl的累积。F及Cl中的至少一者在第一绝缘层40、金属线20中的一者及保护层30间的介面的一部分处比在第一绝缘层中的浓度更高。F及/或Cl的浓度可为第一ILD层10中的F及/或Cl的浓度的5至10倍。
如图8所示,在形成第二凹部25A及25B之后,第二绝缘层60会形成于剩余第一绝缘层40及暴露的金属线之上、及在第二凹部25A及25B中。第二绝缘层60是作为第二蚀刻终止层及对金属线20的保护层。
第二绝缘层60包括一或更多层含有Si及O、N、C、B及或H的Si基绝缘材料、或含有Al及O、N、C、B及或H的Al基绝缘材料。第二绝缘层的实例包括SiN、SiCO:H、SiCN:H、SiCON:H、AlOx、AINx及AlNxOy。第二绝缘层的介电常数可处于约4至约10的范围内。
第二绝缘层60的厚度小于第一绝缘层的厚度,且在一些实施例中,此厚度处于约0.5nm至约30nm的范围内,而在其他实施例中,此厚度可处于约1nm至约10nm的范围内。在一个实施例中,第二绝缘层60的密度小于约3g/cm3,及在其他实施例中,此密度可小于约2.5g/cm3。第二绝缘层60的形成可藉由使用例如PECVD、LPCVD及ALCVD。
另外,如图9所示,第二ILD层70是形成于第二绝缘层60上方。如图9所示,气隙75A及75B形成于第二凹部25A及25B中。
为了形成气隙,可使用在低阶梯覆盖率条件下的非保形CVD法。藉由使用非保形CVD,第二ILD层的上部分会在第二ILD层的绝缘材料完全填充第二凹部前「夹断」(相连),从而在第二凹部中形成气隙。
第二ILD层70可包括一或多层的氧化硅、氮氧化硅(SiON)、SiCN、SiOC或SiOCN。第二ILD层70可掺杂有例如磷以增强孔隙形成效果。
在上述实施例中,第一ILD层及金属线仅形成于下层核心结构之上。然而,第一ILD层及金属线层可形成于一或多个上部层中。
图10至图12显示了根据本发明的另一实施例的用于制造具有气隙的半导体装置的示例性连续工艺。应理解,可在图10至图12所示的工艺之前、期间及之后可提供额外操作,且在方法的额外实施方式中,下文所描述的一些操作可被替换或删除。操作/工艺的次序是可互换的。另外,可将与前述实施例相同或相似的操作、工艺、配置或材料应用于此实施例中,且可省略其详细解释。
类似于图1,第一层间介电(ILD)层10是位于基板上的下层结构上方。随后,如图10所示,保护层30’会形成于第一ILD层10的上表面上方。
保护层30’包括一或多层的SiN、SiON、SiCN或SiCON,其中具有或不具有诸如B或H的额外元素。当存在氢(H)时,H含量小于硼(B)含量。保护层30’具有比第一ILD层10更高的氮浓度,及在一些实施例中保护层30’可具有约50原子%或以上的氮浓度及在其他实施例中可具有约60原子%或以上的氮浓度。保护层30’的密度比第一ILD层10的密度高。在一个实施例中,保护层30’的密度等于或大于约2.5g/cm3,及在其他实施例中,此密度可大于约3.0g/cm3。在某些实施例中,保护层30’的密度可等于或大于约3.5g/cm3
保护层30’的形成可藉由使用例如PECVD、LPCVD及/或ALCVD。可藉由上文关于图3及图4所阐述的等离子体处理形成保护层30’。
在一些实施例中,保护层30’的厚度处于约0.5nm至约20nm的范围内,或在其他实施例中,此厚度可处于约1nm至约10nm的范围内。
如图11所示,藉由使用包括微影及蚀刻工艺的图案化操作,第一凹部15’可形成于第一ILD层10及保护层30’中。
类似于图3,如图12所示,金属材料会形成于第一凹部中以形成金属线20。类似于图3,形成金属线的操作包括镶嵌工艺。藉由此操作,可获得类似于图4的结构。在获得图12所示的结构后,执行与图5至图9相同或相似的操作可获得具有气隙的半导体装置。
本文所描述的各实施例或实例提供优于现有技术的若干优势。举例而言,在本发明中,由于保护层是形成于未形成凹部的第一层间介电层的上表面的一部分中,可抑制自由基扩散至第一绝缘层所覆盖的层间介电层,从而防止漏电及改良装置的可靠性。另外,此可增加气隙制造的操作范围并改良装置的可靠性。
应将理解,并非所有优势皆需要在本文中论述,并非所有实施例或实例皆必须有特定优势,而其他实施例或实例可提供不同优势。
根据本发明的一个实施例,制造半导体装置的方法包括形成第一层间介电层于基板上方。第一凹部会形成于第一层间介电层中。金属线形成于第一凹部中。保护层会形成于金属线之间的第一层间介电层的表面中。第二凹部形成于金属线之间的第一层间介电层中。第二层间介电层会形成以使得气隙形成于第二凹部中。
根据本发明的另一实施例,制造半导体装置的方法包括形成第一层间介电层于基板之上。保护层形成于第一层间介电层上。第一凹部会藉由蚀刻第一层间介电层及保护层而形成。金属线形成于第一凹部中。第一绝缘层会形成于金属线及保护层上方。藉由蚀刻相邻金属线之间的第一绝缘层、保护层及第一层间介电层,第二凹部可形成。第二绝缘层至少形成于第二凹部中。第二层间介电层会形成以使得气隙形成于第二凹部中。
根据本发明的另一实施例,半导体装置包括金属线形成于基板上的第一层间介电层中、及第一绝缘层覆盖于金属线及第一层间介电层的多个部分。具有气隙的第二层间介电层是位于相邻两个该金属线之间的凹部中,而保护层形成于未形成凹部的第一层间介电层的上表面的一部分中。
上文概述若干实施例或实例的特征,以使熟习此项技术者可更好地理解本发明的态样。熟习此项技术者应了解,可轻易使用本发明作为设计或修改其他工艺及结构的基础,以便实施本文所介绍的实施例或实例的相同目的及/或达成相同优势。熟习此项技术者亦应认识到,此类等效结构并未脱离本发明的精神及范畴,且可在不脱离本发明的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (10)

1.一种制造半导体装置的方法,其特征在于,该方法包含:
形成一第一层间介电层于一基板之上;
形成一些第一凹部于该第一层间介电层中;
形成一些金属线于该第一凹部中;
形成一保护层于该些金属线之间的该第一层间介电层的一表面中;
形成一些第二凹部于该些金属线之间的该第一层间介电层中;以及
形成一第二层间介电层以使得一些气隙形成于该些第二凹部中。
2.根据权利要求1所述的方法,其特征在于,形成一保护层包含修改该第一层间介电层的该表面以具有比该第一层间介电层更高的一密度。
3.根据权利要求2所述的方法,其特征在于,该保护层的该密度等于或大于2.5g/cm3
4.根据权利要求1所述的方法,其特征在于,形成一保护层包含将氮引入到该第一层间介电层的该表面中。
5.根据权利要求1所述的方法,其特征在于,尚包含:在形成该保护层之后及在形成该些第二凹部之前,形成一第一绝缘层于该些金属线及该保护层的一些上表面之上。
6.根据权利要求5所述的方法,其特征在于,形成该些第二凹部是将该第一绝缘层覆盖于相邻两个该金属线之间的该第一层间介电层的该上表面的至少一部分。
7.根据权利要求5所述的方法,其特征在于,尚包含:在形成该些第二凹部之后及在形成该第二层间介电层之前,形成一第二绝缘层至少于该些第二凹部中。
8.一种制造半导体装置的方法,其特征在于,该方法包含:
形成一第一层间介电层于一基板之上;
形成一保护层于该第一层间介电层之上;
形成一些第一凹部,藉由蚀刻该第一层间介电层及该保护层;
形成一些金属线于该些第一凹部中;
形成一第一绝缘层于该些金属线及该保护层之上;
形成一些第二凹部,藉由蚀刻相邻金属线之间的该第一绝缘层、该保护层及该第一层间介电层;
形成一第二绝缘层至少于该些第二凹部中;以及
形成一第二层间介电层以使得一些气隙形成于该些第二凹部中。
9.一种半导体装置,其特征在于,包含:
一些金属线,形成于一基板上的一第一层间介电层中;
一第一绝缘层,覆盖该些金属线及该第一层间介电层的一些部分;
一第二层间介电层,具有一些气隙位于相邻两个该金属线之间的一凹部中;以及
一保护层,形成于未形成该凹部的该第一层间介电层的一上表面的一部分中。
10.根据权利要求9所述的半导体装置,其特征在于,该保护层具有比该第一层间介电层更高的一密度。
CN201510859871.3A 2015-08-31 2015-11-30 半导体装置及其制造方法 Active CN106486418B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/840,830 2015-08-31
US14/840,830 US9607882B2 (en) 2015-08-31 2015-08-31 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
CN106486418A true CN106486418A (zh) 2017-03-08
CN106486418B CN106486418B (zh) 2019-07-12

Family

ID=58104257

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510859871.3A Active CN106486418B (zh) 2015-08-31 2015-11-30 半导体装置及其制造方法

Country Status (3)

Country Link
US (1) US9607882B2 (zh)
CN (1) CN106486418B (zh)
TW (1) TWI570840B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107871670A (zh) * 2016-09-26 2018-04-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN110391179A (zh) * 2019-08-07 2019-10-29 德淮半导体有限公司 半导体结构及其形成方法
TWI722666B (zh) * 2019-10-15 2021-03-21 南亞科技股份有限公司 半導體裝置的製造方法
CN113223997A (zh) * 2020-01-21 2021-08-06 夏泰鑫半导体(青岛)有限公司 半导体元器件及其制备方法及电子装置
TWI765406B (zh) * 2019-12-10 2022-05-21 美商格芯(美國)集成電路科技有限公司 用以封閉氣隙結構的雙介電層的結構及其製造方法
WO2023028843A1 (zh) * 2021-08-31 2023-03-09 长江存储科技有限责任公司 半导体结构及其制备方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728447B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
US20200194301A1 (en) * 2018-12-12 2020-06-18 United Microelectronics Corp. Metal interconnection and forming method thereof
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same
WO2022019155A1 (ja) * 2020-07-20 2022-01-27 ソニーセミコンダクタソリューションズ株式会社 配線構造およびその製造方法、ならびに撮像装置
CN117242574A (zh) * 2021-05-26 2023-12-15 索尼半导体解决方案公司 成像元件和成像元件的制造方法
WO2023135934A1 (ja) * 2022-01-11 2023-07-20 ソニーセミコンダクタソリューションズ株式会社 撮像素子および撮像素子の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281107A1 (en) * 2006-05-30 2007-12-06 Hideo Kitagawa Plasma processing method
US20080173976A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Air gap under on-chip passive device
US20080265377A1 (en) * 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214719B1 (en) 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6908829B2 (en) 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines
US7772706B2 (en) 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US8471343B2 (en) 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US20070281107A1 (en) * 2006-05-30 2007-12-06 Hideo Kitagawa Plasma processing method
US20080173976A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Air gap under on-chip passive device
US20080265377A1 (en) * 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107871670A (zh) * 2016-09-26 2018-04-03 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US10651079B2 (en) 2016-09-26 2020-05-12 Taiwan Semiconductor Manufactuing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107871670B (zh) * 2016-09-26 2020-06-26 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11232978B2 (en) 2016-09-26 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN110391179A (zh) * 2019-08-07 2019-10-29 德淮半导体有限公司 半导体结构及其形成方法
TWI722666B (zh) * 2019-10-15 2021-03-21 南亞科技股份有限公司 半導體裝置的製造方法
CN112670237A (zh) * 2019-10-15 2021-04-16 南亚科技股份有限公司 半导体装置的制造方法
CN112670237B (zh) * 2019-10-15 2023-11-17 南亚科技股份有限公司 半导体装置的制造方法
TWI765406B (zh) * 2019-12-10 2022-05-21 美商格芯(美國)集成電路科技有限公司 用以封閉氣隙結構的雙介電層的結構及其製造方法
US11705396B2 (en) 2019-12-10 2023-07-18 Globalfoundries U.S. Inc. Method to form air gap structure with dual dielectric layer
CN113223997A (zh) * 2020-01-21 2021-08-06 夏泰鑫半导体(青岛)有限公司 半导体元器件及其制备方法及电子装置
WO2023028843A1 (zh) * 2021-08-31 2023-03-09 长江存储科技有限责任公司 半导体结构及其制备方法

Also Published As

Publication number Publication date
TWI570840B (zh) 2017-02-11
US9607882B2 (en) 2017-03-28
CN106486418B (zh) 2019-07-12
TW201709409A (zh) 2017-03-01
US20170062265A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
CN106486418B (zh) 半导体装置及其制造方法
CN104733378B (zh) 半导体结构及其制造方法
US7772702B2 (en) Dielectric spacers for metal interconnects and method to form the same
CN105870102B (zh) 镶嵌结构的结构和形成方法
US20210183646A1 (en) Low-k Dielectric and Processes for Forming Same
CN104576518B (zh) 用于后段制程金属化的混合型锰和氮化锰阻障物及其制法
TWI637441B (zh) 半導體裝置及其製造方法和使用電腦設計其佈局的方法
CN107658289A (zh) 半导体器件及其制造方法
TW200415747A (en) Air gap dual damascene process and structure
TWI279886B (en) Semiconductor structure and integrated circuit
US7816256B2 (en) Process for improving the reliability of interconnect structures and resulting structure
US20120153405A1 (en) Semiconductor Device Comprising a Contact Structure with Reduced Parasitic Capacitance
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
US20220367380A1 (en) Hardened interlayer dielectric layer
KR102036827B1 (ko) 반도체 디바이스 및 그 제조 방법
US10923423B2 (en) Interconnect structure for semiconductor devices
KR100852207B1 (ko) 절연막 제거방법 및 금속 배선 형성방법
CN103515308B (zh) 铜内连结构及其制造方法
KR20080114056A (ko) 반도체 소자의 배선 및 그의 형성방법
CN109509721A (zh) 半导体元件及其制作方法
US20090072402A1 (en) Semiconductor device and method of fabricating the same
CN106328616A (zh) 导体插塞及其制造方法
TW201515152A (zh) 記憶體及其製造方法
KR20060058583A (ko) 도전성 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
KR20080002027A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant