TW201709409A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201709409A
TW201709409A TW104139742A TW104139742A TW201709409A TW 201709409 A TW201709409 A TW 201709409A TW 104139742 A TW104139742 A TW 104139742A TW 104139742 A TW104139742 A TW 104139742A TW 201709409 A TW201709409 A TW 201709409A
Authority
TW
Taiwan
Prior art keywords
layer
interlayer dielectric
forming
dielectric layer
protective layer
Prior art date
Application number
TW104139742A
Other languages
English (en)
Other versions
TWI570840B (zh
Inventor
林翔偉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI570840B publication Critical patent/TWI570840B/zh
Publication of TW201709409A publication Critical patent/TW201709409A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)

Abstract

本發明提供一種半導體裝置,包括金屬線形成於基板上之第一層間介電層中、第一絕緣層覆蓋著部分的金屬線及第一層間介電層、第二層間介電層在相鄰兩個金屬線之間的凹部中具有氣隙、以及保護層形成於未形成凹部的一部分第一層間介電層之上表面中。

Description

半導體裝置及其製造方法
本發明係關於一種半導體裝置,更特別關於一種在金屬線之間具有氣隙的半導體裝置。
隨著半導體工業引進了具有更高效能及更大功能性的新一代積體電路(IC),IC元件的形成密度增加,而IC組件或元件之間的尺寸及間隔減小,繼而引發各種問題。舉例而言,對於任何兩個相鄰導電特徵,當導電特徵之間的距離減小時,所得電容(寄生電容)增加。增加的電容導致功率消耗增加及阻容(RC)時間常數的增加(亦即,訊號延遲增加)。兩個相鄰導電特徵(例如,金屬線)之間的電容為導電特徵之間的空間中所填充之絕緣材料之介電常數(k值)的函數(亦為導電特徵之間的距離及導電特徵之側表面之尺寸的函數)。因此,半導體IC效能及功能性的不斷改良取決於具有低k值的絕緣(介電)材料之發展。由於具有最低介電常數的物質為空氣(k=1.0),氣隙之形成可進一步減小金屬線層的有效k值。
根據本發明之一個態樣,製造半導體裝置之方法包括形成第一層間介電層於基板上方。第一凹部會形成於第一層間介電層中。金屬線形成於第一凹部中。保護層會形成於金屬線之間的第一層間介電層之表面中。第二凹部形成於金屬線之間的第一層間介電層中。第二層間介電層會形成以使得氣隙形成於第二凹部中。
根據本發明之另一態樣,製造半導體裝置之方法包括形成第一層間介電層於基板之上。保護層形成於第一層間介電層上。第一凹部會藉由蝕刻第一層間介電層及保護層而形成。金屬線形成於第一凹部中。第一絕緣層會形成於金屬線及保護層上方。藉由蝕刻相鄰金屬線之間的第一絕緣層、保護層及第一層間介電層,第二凹部可形成。第二絕緣層至少形成於第二凹部中。第二層間介電層會形成以使得氣隙形成於第二凹部中。
根據本發明之另一態樣,半導體裝置包括金屬線形成於基板上之第一層間介電層中、及第一絕緣層覆蓋於金屬線及第一層間介電層之多個部分。具有氣隙的第二層間介電層係位於相鄰兩個該金屬線之間的凹部中,而保護層形成於未形成凹部的第一層間介電層之上表面的一部分中。
1‧‧‧基板
5‧‧‧下層結構
10‧‧‧第一層間介電(ILD)層
10A‧‧‧下部第一層間介電(ILD)層
10B‧‧‧上部第一層間介電(ILD)層
12‧‧‧蝕刻終止層
15‧‧‧第一凹部
15’‧‧‧第一凹部
20‧‧‧金屬線
20A‧‧‧第一線
20B‧‧‧第二線
20C‧‧‧第三線
20D‧‧‧第四線
25A‧‧‧第二凹部
25B‧‧‧第二凹部
30‧‧‧保護層
30’‧‧‧保護層
30A‧‧‧點
40‧‧‧第一絕緣層
50‧‧‧遮罩層
55‧‧‧開口
60‧‧‧第二絕緣層
70‧‧‧第二層間介電(ILD)層
75A‧‧‧氣隙
75B‧‧‧氣隙
當結合隨附圖式進行閱讀時,本發明之詳細描述將能被充分地理解。應注意,根據業界標準實務,各特徵 並非按比例繪製且僅用於圖示目的。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。
第1圖至第9圖顯示了根據本發明一實施例之用於製造具有氣隙的半導體裝置之示例性連續製程。
第10圖至第12圖顯示了根據本發明另一實施例之用於製造具有氣隙的半導體裝置之示例性連續製程。
第13A圖與第13B圖顯示了自由基擴散至層間介電層中。
應理解,以下揭示內容提供許多不同實施例或實例,以便實施本發明之不同特徵。下文描述組件及排列之特定實施例或實例以簡化本發明。當然,此等實例僅為示例性且並不欲為限制性。舉例而言,元件之尺寸並不受限於所揭示之範圍或值,但可取決於製程條件及/或裝置之所欲特性。此外,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括可在第一特徵與第二特徵之間插入形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。為了簡明性及清晰性,可以不同尺度任意繪製各特徵。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示之一元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸 圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可同樣解讀本文所使用之空間相對性描述詞。另外,術語「由……製成」可意謂「包含」或「由……組成」中任一者。
第1圖至第9圖顯示了根據本發明之一實施例之用於製造具有氣隙的半導體裝置之示例性連續製程的剖面圖。第1圖至第9圖顯示製造位於基板上方的金屬線層(線位準)中之一者的示例性連續製程。儘管存在核心結構,諸如位於基板與金屬線層之間、構成半導體裝置之電晶體或其他元件(例如,觸點等)(以下稱為「下層結構」),但為了簡明性,第1圖至第9圖省略此等元件之細節圖示。
如第1圖所示,第一層間介電(ILD)層10係形成於基板1上方的下層結構5之上。層間介電層亦可稱為金屬間介電(IMD)層。第一ILD層10係由例如一或更多層的低k介電材料製成。低k介電材料具有小於約4.0的k值(介電常數)。一些低k介電材料具有小於約3.5的k值及可具有小於約2.5的k值。
用於第一ILD層10的材料包括Si、O、C及/或H元素,諸如SiCOH及SiOC。可將有機材料(諸如聚合物)用於第一ILD層10。舉例而言,第一ILD層10由一或更多層含碳材料、有機矽酸鹽玻璃、含致孔劑材料及/或上述各者之組合製成。在一些實施例中,氮亦可被包含於第一ILD層10中。第一ILD層10可為多孔層。在一實施例中,第一ILD 層10之密度小於約3g/cm3,及在其他實施例中可小於約2.5g/cm3。第一ILD層10之形成可藉由使用例如電漿增強化學氣相沉積(PECVD)、低壓CVD(LPCVD)、原子層CVD(ALCVD)及/或旋塗技術。若是使用PECVD,則薄膜之沉積係在基板溫度為約25℃至約400℃範圍內之及壓力小於100托之情形下進行。
在一些實施例中,第一ILD層可包括層間絕緣薄膜及線間絕緣薄膜,以使得金屬線主要形成在金屬間絕緣薄膜中。層間絕緣薄膜可包括SiOC薄膜,而線間絕緣薄膜可包括四乙氧基矽烷(TEOS)薄膜。
如第2圖所示,第一凹部15係藉由使用包括微影及蝕刻製程的圖案化操作而形成於第一ILD層10中。在一些實施例中,一或更多個通孔(接觸孔)(未圖示)可連接至第一凹部之底部所形成之下層結構的一或更多個元件。
在一些實施例中,蝕刻終止層12可被使用以界定凹部15之底部。在此情況中,第一ILD層10可包括下部第一ILD層10A及上部第一ILD層10B,而蝕刻終止層12插入在兩層之間。下部第一ILD層10A及上部第一ILD層10B的材料可相同或不同。若未使用蝕刻終止層,則凹部之深度可藉由控制凹部蝕刻之蝕刻時間或蝕刻速率來控制。
如第3圖所示,金屬材料會形成於第一凹部中以形成金屬線20。形成金屬線的操作包括鑲嵌製程。在鑲嵌製程中,一或更多個金屬材料層會形成於第一凹部15及第一ILD層10之上表面中,並進行平坦化操作(諸如化學機 械研磨法及/或回蝕刻法)以移除第一ILD層10之上表面上所形成之金屬材料之多個部分。
藉由CVD、物理氣相沉積(PVD)及/或電鍍,一或更多個金屬材料層可形成。
金屬線20的金屬材料為一或多層之Al、Cu、Co、Mn、W、Ti、Ta、TiN、TaN、TiW、WN、TiAl、TiAlN、TaC、TaCN及TiSiN。舉例而言,金屬線20可包括由例如TiN及/或TaN製成的阻障層,及由例如Cu或Cu基材料製成的主體層。
在形成金屬線20後,第一ILD層10之上表面會被修改以形成保護層30。保護層30具有比第一ILD層10更高的氮濃度,在一些實施例中可具有約50原子%或以上之氮濃度,及在其他實施例中可具有約60原子%或以上之氮濃度。保護層30之密度比第一ILD層10之密度高。在一個實施例中,保護層30之密度等於或大於約2.5g/cm3,及在其他實施例中,保護層30之密度可大於約3.0g/cm3。在某些實施例中,保護層30之密度可等於或大於約3.5g/cm3
在本發明之一實施例中,進行電漿處理可修改第一ILD層10之上表面。可將氨氣(NH3)及/或氮氣(N2)可用作電漿處理的源氣體。電漿處理可包括在小於100托之壓力下及約100℃至約400℃溫度下的直接電漿或遠端電漿。可採用離子植入以將氮引入到第一ILD層10之表面中。
藉由電漿處理,第一ILD層10之上表面之約0.5nm至20nm深度的一部分可被修改成保護層30。在一些實 施例中,保護層之厚度可為約1nm至約10nm。如第4圖中所示,保護層30形成於金屬線20之間的第一ILD層10之上表面。
在形成保護層30之後,第一絕緣層40會形成於金屬線20及保護層30之上。第一絕緣層40係作為第一蝕刻終止層及對金屬線20的保護層。
第一絕緣層40包括一或更多層含有Si及O、N、C、B及或H的Si基絕緣材料或含有Al及O、N、C、B及或H的Al基絕緣材料。第一絕緣層之實例包括SiN、SiCO:H、SiCN:H、SiCON:H、AlOx、AINx及AlNxOy。第一絕緣層之介電常數可處於約4至約10之範圍內。
在一些實施例中,第一絕緣層40之厚度處於約1nm至約50nm之範圍內,及在其他實施例中可處於約5nm至約30nm之範圍內。在其他實施例中,第一絕緣層40之密度小於約3g/cm3,及在其他實施例中,此密度可小於約2.5g/cm3
第一絕緣層40之形成可藉由使用例如PECVD、LPCVD、ALCVD及/或旋塗技術。在PECVD情況下,第一絕緣層40之沉積係在基板溫度為約25℃至約400℃的範圍內、及小於100托之壓力下進行。
如第6圖及第7圖所示,在第一絕緣層40形成後,第二凹部25A及25B會形成在介於第一線20A與第二線20B之間、及介於第二線20B與第三線20C之間的第一ILD層10中。在此實施例中,並未有第二凹部形成於第三線20C 與第四線20D之間,但此僅為一個範例,且第二凹部可取決於應用及設計而形成在兩個線之間的任何空間中,或在其他實施例中,可在相鄰線之間僅形成一個凹部。
第二凹部25A及25B係藉由使用包括微影及蝕刻製程的圖案化操作而形成於第一ILD層10中。如第6圖所示,遮罩層50(例如,抗蝕遮罩)形成於第一絕緣層40上,而開口55則藉由微影製程而形成。隨後,如第7圖中所示,藉由使用乾式蝕刻及/或濕式蝕刻,開口55中的第一絕緣層40及第一ILD層10係被蝕刻以形成第二凹部25A及25B。由於此蝕刻主要蝕刻第一絕緣層40及第一ILD層10之絕緣材料而並未實質上蝕刻導線層的金屬材料,第二凹部25A及25B係自對準形成於第二線20B側邊。第二凹部25A及25B之深度可與金屬線20之底部處於相同高度上或可比金屬線20之底部深。
形成第二凹部25A及25B的乾式蝕刻使用含氟F及/或含氯(Cl)氣體。F及Cl之自由基可穿透至金屬線20與第一絕緣層40之間的介面中。如第13B圖所示,若保護層30並未形成於第一ILD層10之上表面中,則F及Cl之自由基可進一步穿透及擴散至第一ILD層10中(參看第13B圖中的箭頭)。F及Cl之擴散可誘發漏電及降低半導體裝置之可靠性。
相比之下,如第13A圖所示,在本實施例中,由於保護層30係形成於第一ILD層10之上表面中,F及Cl之自由基會在保護層30處終止(參看第13A圖中的箭頭), 向上累積,且並不穿透或擴散至第一ILD層10中。在第13A圖之點30A處,可能觀察到F及/或Cl之累積。F及Cl中的至少一者在第一絕緣層40、金屬線20中的一者及保護層30間的介面之一部分處比在第一絕緣層中的濃度更高。F及/或Cl之濃度可為第一ILD層10中的F及/或Cl之濃度的5至10倍。
如第8圖所示,在形成第二凹部25A及25B之後,第二絕緣層60會形成於剩餘第一絕緣層40及暴露的金屬線之上、及在第二凹部25A及25B中。第二絕緣層60係作為第二蝕刻終止層及對金屬線20的保護層。
第二絕緣層60包括一或更多層含有Si及O、N、C、B及或H的Si基絕緣材料、或含有Al及O、N、C、B及或H的Al基絕緣材料。第二絕緣層之實例包括SiN、SiCO:H、SiCN:H、SiCON:H、AlOx、AINx及AlNxOy。第二絕緣層之介電常數可處於約4至約10之範圍內。
第二絕緣層60之厚度小於第一絕緣層之厚度,且在一些實施例中,此厚度處於約0.5nm至約30nm之範圍內,而在其他實施例中,此厚度可處於約1nm至約10nm之範圍內。在一個實施例中,第二絕緣層60之密度小於約3g/cm3,及在其他實施例中,此密度可小於約2.5g/cm3。第二絕緣層60之形成可藉由使用例如PECVD、LPCVD及ALCVD。
另外,如第9圖所示,第二ILD層70係形成於第二絕緣層60上方。如第9圖所示,氣隙75A及75B形成於第二凹部25A及25B中。
為了形成氣隙,可使用在低階梯覆蓋率條件下之非保形CVD法。藉由使用非保形CVD,第二ILD層之上部分會在第二ILD層之絕緣材料完全填充第二凹部前「夾斷」(相連),從而在第二凹部中形成氣隙。
第二ILD層70可包括一或多層之氧化矽、氮氧化矽(SiON)、SiCN、SiOC或SiOCN。第二ILD層70可摻雜有例如磷以增強孔隙形成效果。
在上述實施例中,第一ILD層及金屬線僅形成於下層核心結構之上。然而,第一ILD層及金屬線層可形成於一或多個上部層中。
第10圖至第12圖顯示了根據本發明之另一實施例之用於製造具有氣隙的半導體裝置之示例性連續製程。應理解,可在第10圖至第12圖所示之製程之前、期間及之後可提供額外操作,且在方法之額外實施方式中,下文所描述之一些操作可被替換或刪除。操作/製程之次序是可互換的。另外,可將與前述實施例相同或相似的操作、製程、配置或材料應用於此實施例中,且可省略其詳細解釋。
類似於第1圖,第一層間介電(ILD)層10係位於基板上之下層結構上方。隨後,如第10圖所示,保護層30’會形成於第一ILD層10之上表面上方。
保護層30’包括一或多層之SiN、SiON、SiCN或SiCON,其中具有或不具有諸如B或H之額外元素。當存在氫(H)時,H含量小於硼(B)含量。保護層30’具有比第一ILD層10更高的氮濃度,及在一些實施例中保護層30’可具有約50原子%或以上之氮濃度及在其他實施例中可具有約60原子%或以上之氮濃度。保護層30’之密度比第一ILD層10之密度高。在一個實施例中,保護層30’之密度等於或大於約2.5g/cm3,及在其他實施例中,此密度可大於約3.0g/cm3。在某些實施例中,保護層30’之密度可等於或大於約3.5g/cm3
保護層30’之形成可藉由使用例如PECVD、LPCVD及/或ALCVD。可藉由上文關於第3圖及第4圖所闡述之電漿處理形成保護層30’。
在一些實施例中,保護層30’之厚度處於約0.5nm至約20nm之範圍內,或在其他實施例中,此厚度可處於約1nm至約10nm之範圍內。
如第11圖所示,藉由使用包括微影及蝕刻製程的圖案化操作,第一凹部15’可形成於第一ILD層10及保護層30’中。
類似於第3圖,如第12圖所示,金屬材料會形成於第一凹部中以形成金屬線20。類似於第3圖,形成金屬線的操作包括鑲嵌製程。藉由此操作,可獲得類似於第4圖的結構。在獲得第12圖所示之結構後,執行與第5圖至第9圖相同或相似的操作可獲得具有氣隙的半導體裝置。
本文所描述之各實施例或實例提供優於現有技術的若干優勢。舉例而言,在本發明中,由於保護層係形成於未形成凹部的第一層間介電層之上表面的一部分中,可抑制自由基擴散至第一絕緣層所覆蓋之層間介電層,從而防止漏電及改良裝置之可靠性。另外,此可增加氣隙製造的操作範圍並改良裝置之可靠性。
應將理解,並非所有優勢皆需要在本文中論述,並非所有實施例或實例皆必須有特定優勢,而其他實施例或實例可提供不同優勢。
根據本發明之一個態樣,製造半導體裝置之方法包括形成第一層間介電層於基板上方。第一凹部會形成於第一層間介電層中。金屬線形成於第一凹部中。保護層會形成於金屬線之間的第一層間介電層之表面中。第二凹部形成於金屬線之間的第一層間介電層中。第二層間介電層會形成以使得氣隙形成於第二凹部中。
根據本發明之另一態樣,製造半導體裝置之方法包括形成第一層間介電層於基板之上。保護層形成於第一層間介電層上。第一凹部會藉由蝕刻第一層間介電層及保護層而形成。金屬線形成於第一凹部中。第一絕緣層會形成於金屬線及保護層上方。藉由蝕刻相鄰金屬線之間的第一絕緣層、保護層及第一層間介電層,第二凹部可形成。第二絕緣層至少形成於第二凹部中。第二層間介電層會形成以使得氣隙形成於第二凹部中。
根據本發明之另一態樣,半導體裝置包括金屬線形成於基板上之第一層間介電層中、及第一絕緣層覆蓋於金屬線及第一層間介電層之多個部分。具有氣隙的第二層間介電層係位於相鄰兩個該金屬線之間的凹部中,而保護層形成於未形成凹部的第一層間介電層之上表面的一部分中。
上文概述若干實施例或實例之特徵,以使熟習此項技術者可更好地理解本發明之態樣。熟習此項技術者應瞭解,可輕易使用本發明作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例或實例的相同目的及/或達成相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本發明之精神及範疇,且可在不脫離本發明之精神及範疇的情況下產生本文的各種變化、替代及更改。
25A‧‧‧第二凹部
25B‧‧‧第二凹部
70‧‧‧第二層間介電(ILD)層
75A‧‧‧氣隙
75B‧‧‧氣隙

Claims (20)

  1. 一種製造半導體裝置之方法,該方法包含:形成一第一層間介電層於一基板之上;形成一些第一凹部於該第一層間介電層中;形成一些金屬線於該些第一凹部中;形成一保護層於該些金屬線之間的該第一層間介電層之一表面中;形成一些第二凹部於該些金屬線之間的該第一層間介電層中;以及形成一第二層間介電層以使得一些氣隙形成於該些第二凹部中。
  2. 如請求項1所述之方法,其中形成一保護層包含修改該第一層間介電層之該表面以具有比該第一層間介電層更高的一密度。
  3. 如請求項2所述之方法,其中該保護層之一密度等於或大於2.5g/cm3
  4. 如請求項1所述之方法,其中形成一保護層包含將氮引入到該第一層間介電層之該表面中。
  5. 如請求項4所述之方法,其中將氮引入到該第一層間介電層之該表面中係藉由使用具有一含氮氣體之電漿。
  6. 如請求項4所述之方法,其中該保護層中的一氮濃度為50原子%或以上。
  7. 如請求項1所述之方法,尚包含:在形成該保護層之後及在形成該些第二凹部之前,形成一第一絕緣層於該些金屬線及該保護層的一些上表面之上。
  8. 如請求項7所述之方法,其中該第一絕緣層包括一或更多層之一Si基絕緣材料或一Al基絕緣材料。
  9. 如請求項7所述之方法,其中形成該些第二凹部係將該第一絕緣層覆蓋於相鄰兩個該金屬線之間的該第一層間介電層之該上表面之至少一部分。
  10. 如請求項7所述之方法,尚包含:在形成該些第二凹部之後及在形成該第二層間介電層之前,形成一第二絕緣層至少於該些第二凹部中。
  11. 如請求項10所述之方法,其中該第二絕緣層包括一或更多層之一Si基絕緣材料或一Al基絕緣材料。
  12. 如請求項1所述之方法,其中形成該些第一凹部包含使用含有F及Cl中之至少一者之一氣體乾式蝕刻該第一層間介電層。
  13. 如請求項1所述之方法,其中該保護層之一厚度介於0.5nm至20nm之一範圍內。
  14. 一種製造半導體裝置之方法,該方法包含:形成一第一層間介電層於一基板之上;形成一保護層於該第一層間介電層之上; 形成一些第一凹部,藉由蝕刻該第一層間介電層及該保護層;形成一些金屬線於該些第一凹部中;形成一第一絕緣層於該些線及該保護層之上;形成一些第二凹部,藉由蝕刻相鄰該金屬線之間的該第一絕緣層、該保護層及該第一層間介電層;形成一第二絕緣層至少於該些第二凹部中;以及形成一第二層間介電層以使得一些氣隙形成於該些第二凹部中。
  15. 如請求項14所述之方法,其中該保護層包括一或更多層之SiN、SiON、SiCN及SiOCN。
  16. 一種半導體裝置,包含:一些金屬線,形成於一基板上之一第一層間介電層中;一第一絕緣層,覆蓋該些金屬線及該第一層間介電層之一些部分;一第二層間介電層,具有一些氣隙位於相鄰兩個該金屬線之間的一凹部中;以及一保護層,形成於未形成該凹部的該第一層間介電層之一上表面的一部分中。
  17. 如請求項16所述之半導體裝置,其中該保護層具有比該第一層間介電層更高的一密度。
  18. 如請求項17所述之半導體裝置,其中該保護層之一密度等於或大於2.5g/cm3
  19. 如請求項16所述之半導體裝置,其中該保護層中之一氮濃度為50原子%或以上。
  20. 如請求項16所述之半導體裝置,尚包含一第二絕緣層形成於相鄰兩個該金屬線之間的該凹部中。
TW104139742A 2015-08-31 2015-11-27 半導體裝置及其製造方法 TWI570840B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/840,830 US9607882B2 (en) 2015-08-31 2015-08-31 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TWI570840B TWI570840B (zh) 2017-02-11
TW201709409A true TW201709409A (zh) 2017-03-01

Family

ID=58104257

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139742A TWI570840B (zh) 2015-08-31 2015-11-27 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US9607882B2 (zh)
CN (1) CN106486418B (zh)
TW (1) TWI570840B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728447B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
US9905456B1 (en) 2016-09-26 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20200194301A1 (en) * 2018-12-12 2020-06-18 United Microelectronics Corp. Metal interconnection and forming method thereof
CN110391179A (zh) * 2019-08-07 2019-10-29 德淮半导体有限公司 半导体结构及其形成方法
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
CN113223997A (zh) * 2020-01-21 2021-08-06 夏泰鑫半导体(青岛)有限公司 半导体元器件及其制备方法及电子装置
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same
CN115956286A (zh) * 2020-07-20 2023-04-11 索尼半导体解决方案公司 配线结构、其制造方法和成像装置
JPWO2022249596A1 (zh) * 2021-05-26 2022-12-01
CN113939905A (zh) * 2021-08-31 2022-01-14 长江存储科技有限责任公司 半导体结构及其制备方法
WO2023135934A1 (ja) * 2022-01-11 2023-07-20 ソニーセミコンダクタソリューションズ株式会社 撮像素子および撮像素子の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214719B1 (en) 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6908829B2 (en) 2002-03-11 2005-06-21 Intel Corporation Method of forming an air gap intermetal layer dielectric (ILD) by utilizing a dielectric material to bridge underlying metal lines
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
JP2007324185A (ja) * 2006-05-30 2007-12-13 Canon Inc プラズマ処理方法
US7662722B2 (en) * 2007-01-24 2010-02-16 International Business Machines Corporation Air gap under on-chip passive device
US20080265377A1 (en) 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer
US7772706B2 (en) 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US8471343B2 (en) 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild

Also Published As

Publication number Publication date
TWI570840B (zh) 2017-02-11
US9607882B2 (en) 2017-03-28
CN106486418B (zh) 2019-07-12
CN106486418A (zh) 2017-03-08
US20170062265A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
TWI570840B (zh) 半導體裝置及其製造方法
TWI559447B (zh) 半導體裝置與其形成方法
TWI552226B (zh) 用於後段製程金屬化之混合型錳和氮化錳阻障物及其製造方法
JP4956919B2 (ja) 半導体装置およびその製造方法
CN104733378B (zh) 半导体结构及其制造方法
US9613880B2 (en) Semiconductor structure and fabrication method thereof
TWI637441B (zh) 半導體裝置及其製造方法和使用電腦設計其佈局的方法
TWI611545B (zh) 互連線結構與其製造方法
US8212330B2 (en) Process for improving the reliability of interconnect structures and resulting structure
JP6134727B2 (ja) 半導体装置およびその製造方法
KR20030078750A (ko) 반도체 장치 및 그 제조 방법
TWI588935B (zh) 半導體裝置結構的製造方法
JP2004193431A (ja) 半導体装置およびその製造方法
JP4068868B2 (ja) 半導体装置の製造方法
US20070249164A1 (en) Method of fabricating an interconnect structure
KR102036827B1 (ko) 반도체 디바이스 및 그 제조 방법
US10923423B2 (en) Interconnect structure for semiconductor devices
JP5326949B2 (ja) 半導体装置
JP2012080133A (ja) 半導体装置の製造方法
TWI518766B (zh) 在半導體基底上形成開口的方法
JP2007273823A (ja) 半導体装置およびその製造方法