TWI588935B - 半導體裝置結構的製造方法 - Google Patents

半導體裝置結構的製造方法 Download PDF

Info

Publication number
TWI588935B
TWI588935B TW104136274A TW104136274A TWI588935B TW I588935 B TWI588935 B TW I588935B TW 104136274 A TW104136274 A TW 104136274A TW 104136274 A TW104136274 A TW 104136274A TW I588935 B TWI588935 B TW I588935B
Authority
TW
Taiwan
Prior art keywords
mask layer
layer
containing gas
opening
hard mask
Prior art date
Application number
TW104136274A
Other languages
English (en)
Other versions
TW201624613A (zh
Inventor
陳泳字
陳玉樹
劉又誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201624613A publication Critical patent/TW201624613A/zh
Application granted granted Critical
Publication of TWI588935B publication Critical patent/TWI588935B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置結構的製造方法
本發明係有關於一種半導體裝置結構的製造方法,特別為有關於一種形成具有部件開口的半導體裝置結構的機制。
半導體積體電路(integrated circuit,IC)產業已歷經了快速的成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。
在積體電路的發展過程中,通常增加了功能密度(即,每晶片面積所內連接的裝置的數量),卻降低了幾何尺寸(即,製程中所能製造出的最小元件或線路)。尺寸縮小所帶來的好處通常包括提高生產效率及降低相關成本。然而,這些技術進步增加了製程及製造積體電路的複雜性。
由於特徵尺寸持續縮小,因此製程也變得更加難以進行。因此,形成具有更小尺寸及良好可靠度的半導體裝置是一大挑戰。
本發明實施例係提供一種半導體裝置結構的製造方法,包括在一半導體基底上方形成一介電層。在介電層上方 形成一硬式罩幕層。進行電漿蝕刻製程蝕刻硬式罩幕層,以形成一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,且氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3。透過硬式罩幕層中的開口蝕刻介電層,以在介電層中形成一部件開口。在部件開口中形成一導電材料。
本發明實施例係提供一種半導體裝置結構的製造方法,包括在一半導體基底上方形成一介電層。在介電層上方形成一硬式罩幕層。在硬式罩幕層上方形成一罩幕層。透過使用一光阻層圖案化罩幕層。去除光阻層。在去除光阻層之後,進行電漿蝕刻製程蝕刻硬式罩幕層,以形成一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3,且當蝕刻硬式罩幕層以形成開口時,圖案化的罩幕層作為蝕刻遮罩。透過硬式罩幕層中的開口蝕刻介電層,以在介電層中形成一部件開口。在部件開口中形成一導電材料。
本發明實施例係提供一種半導體裝置結構的製造方法,包括在一半導體基底上方形成一介電層。在介電層上方形成一硬式罩幕層。在硬式罩幕層上方形成一罩幕層。透過使用一光阻層圖案化罩幕層。去除光阻層。在去除光阻層之後,進行電漿蝕刻製程蝕刻硬式罩幕層,以形成具有垂直側壁的一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵 素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3,且當蝕刻硬式罩幕層以形成開口時,圖案化的罩幕層作為蝕刻遮罩。透過硬式罩幕層中的開口蝕刻介電層,以在介電層中形成一部件開口。在部件開口中形成一導電材料。
100‧‧‧半導體基底
102‧‧‧導電部件
104、328‧‧‧蝕刻終止層
106、306、330‧‧‧介電層
108、308、332‧‧‧抗反射層
110、310、334‧‧‧硬式罩幕層
111‧‧‧光阻層
112、202、312、336‧‧‧罩幕層
113、117‧‧‧底部
114、116、204、316‧‧‧開口
115、119‧‧‧側壁
118、318、337‧‧‧部件開口
120‧‧‧導通孔
122、320、338‧‧‧隔離層
124、324、340‧‧‧導電材料
126、128、226、228、326、342‧‧‧導電部件
206‧‧‧孔洞
208‧‧‧溝槽開口
210‧‧‧導通孔
400‧‧‧方法
402、404、406、408、410‧‧‧步驟
θ1、θ2‧‧‧角度
第1A圖至第1G圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。
第2A圖至第2E圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。
第3A圖至第3F圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。
第4圖係繪示出根據某些實施例之半導體裝置結構的製造方法的流程圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於 上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語等。可以理解的是,除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
以下描述本發明的某些實施例。第1A圖至第1G圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。根據某些實施例,在第1A圖至第1G圖中所述階段之前、期間及/或之後,可提供額外的操作步驟。第4圖係繪示出根據某些實施例之半導體裝置結構的製造方法400的流程圖。為了說明的目的,將結合在第1A圖至第1G圖所示之剖面示意圖來描述流程圖。對於不同的實施例,某些階段可被替代或省略。額外的部件可以加入半導體裝置結構中。對於不同的實施例,以下描述的某些部件可被替代或省略。
請參照第1A圖及第4圖,方法400開始於步驟402,根據某些實施例,在一半導體基底100上方形成一介電層106。如第1A圖所示,提供半導體基底100。在某些實施例中,半導 體基底100為半導體基底塊材(bulk semiconductor substrate),例如半導體晶圓。舉例來說,半導體基底100包括矽或其他元素半導體材料(例如,鍺)。在某些實施例中,半導體基底100包括化合物半導體。化合物半導體可包括碳化矽、砷化鎵、砷化銦、磷化銦、其他適合的化合物半導體或其組合。在某些實施例中,半導體基底100包括絕緣層上半導體(semiconductor-on-insulator,SOI)基底。可透過氧離子佈植隔離法(separation by implantation of oxygen,SIMOX)、晶圓接合製程、其他可應用的方法或其組合製作SOI基底。
在某些實施例中,隔離特徵部件(未繪示)形成於半導體基底100內,以定義及隔離形成於半導體基底100內的各種裝置部件(未繪示)。舉例來說,隔離特徵部件包括淺溝槽隔離(shallow trench isolation,STI)特徵部件或局部半導體氧化(local oxidation of semiconductor,LOCOS)特徵部件。在某些實施例中,每個隔離部件具有多層結構。在某些實施例中,隔離部件是由一種或多種介電材料所構成。介電材料可以包括氧化矽、氮化矽、氮氧化矽、氟摻雜的矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數(K)介電材料、其他適合的材料或其組合。在某些實施例中,形成一淺溝槽隔離襯層(未繪示),以減少半導體基底100及隔離部件之間的界面處的結晶缺陷。
可形成於半導體基底100內的各種裝置部件的範例包括電晶體(例如,金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistor,MOSFET)、 互補型金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor,BJT)、高壓電晶體、高頻電晶體、P型通道場效電晶體及/或N型通道場效電晶體(PFETs/NFETs)等)、二極體、其他適合的部件或其組合。可進行各種製程(例如,沉積製程、蝕刻製程、離子佈值製程、光微影製程、退火製程、平坦化製程、其他可應用的製程或其組合),以形成各種裝置部件。
第1A圖繪示出示例性的一導電部件102,以進行說明。在某些實施例中,導電部件102是源極/汲極部件。源極/汲極部件可以包括摻雜區或抬升式磊晶成長(raised epitaxially grown)的部件。在某些實施例中,該導電部件102是閘極電極。或者,導電部件102可以是形成在源極/汲極部件或閘極電極上的金屬矽化物部件。在某些實施例中,使用自對準矽化物(silicide/salicide)技術形成金屬矽化物部件。
在某些其他實施例中,導電部件102包括電容器的一電極或電阻器的一端。在某些實施例中,半導體基底100包括內連線結構的一部分。導電部件102可以包括導電接觸窗、導電線、導電通孔、其他適合的導電元件或其組合。
如第1A圖所示,根據某些實施例,在半導體基底100及導電部件102上方沉積一蝕刻終止層104。蝕刻終止層104用於保護導電部件102在形成接觸孔、導通孔及/或溝槽的後續製程期間不受到損壞。在某些實施例中,蝕刻終止層104是由氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、碳氮化矽 (SiCN)、碳氧化矽(SiCO)、其他適合的材料或其組合所構成。在某些實施例中,使用化學氣相沉積(chemical vapor deposition,CVD)製程、旋塗製程、其他適用的製程或其組合來沉積蝕刻終止層104。可以對本發明的實施例進行多種變化及修改。在某些其他實施例中,沒有形成蝕刻終止層104。
如第1A圖所示,根據某些實施例,在蝕刻終止層104上方沉積一介電層106。介電層106可作為金屬層間介電(inter-metal dielectric,IMD)層或內層介電(inter-layer dielectric,ILD)層。在某些實施例中,介電層106由低K介電材料所構成。低K介電材料的介電常數小於二氧化矽的介電常數。例如,低K介電材料的介電常數在從約1.2至約3.5的範圍內。隨著半導體裝置的密度增大及其電路元件的尺寸變得更小,電阻電容(resistance capacitance,RC)延遲時間逐漸增加地主導電路性能。使用低K介電材料作為介電層106有助於降低RC延遲。
在某些實施例中,介電層106包括旋塗無機介電材料、旋塗有機介電材料、多孔介電材料、有機聚合物、有機矽玻璃、SiOF系列材料、氫倍半矽氧烷(HSQ)系列材料、甲基倍半矽氧烷(MSQ)系列材料、多孔有機系列材料、其他適合的材料或其組合。在某些實施例中,介電層106包括含有Si、C、O及H的材料。例如,介電層106包括SiO2、SiOC、SiON、SiCOH、SiOCN或其組合。在某些實施例中,介電層106包括氟摻雜的矽酸鹽玻璃(FSG),例如氟摻雜的-(O-Si(CH3)2-O)-。在某些實施例中,使用CVD製程、原子層沉積(atomic layer deposition,ALD)製程、旋塗製程、噴塗製程、其他適用的製程或其組合沉積介電層106。
請參照第1A圖及第4圖,方法400繼續進行步驟404,根據某些實施例,在介電層106上方形成一硬式罩幕層110。如第1A圖所示,根據某些實施例,在形成硬式罩幕層110之前,在介電層106上方沉積一抗反射層108。在某些實施例中,抗反射層108可以用於輔助後續的圖案化步驟。在某些實施例中,抗反射層108是由氧化矽、碳化矽、碳氧化矽、氮氧化矽、氮化矽、碳氧化矽、鉻、氧化鉻、氮化鉻、氮氧化鉻、鈦、其他適合的材料或其組合所構成。在某些其他實施例中,抗反射層108大致上不含氮。在某些實施例中,使用CVD製程、旋塗製程、物理氣相沉積(physical vapor deposition,PVD)製程、其他適用的製程或其組合沉積抗反射層108。
然而,應當理解的是,可以對本發明的實施例進行多種變化及修改。在某些實施例中,不形成抗反射層108。
如第1A圖所示,根據某些實施例,在抗反射層108(及/或介電層106)上方沉積硬式罩幕層110。硬式罩幕層110用於輔助後續的介電層106的圖案化步驟。在某些實施例中,硬式罩幕層110包括金屬材料。在某些實施例中,硬式罩幕層110由氮化鈦、鈦、其他適合的材料或其組合製成。在某些實施例中,硬式罩幕層110為單層。在某些其他實施例中,硬式罩幕層110具有包括多個子層的多層結構。在某些實施例中,該硬式罩幕層110的厚度在從約200Å至約500Å的範圍內。在某些實施例中,使用CVD製程、PVD製程、電鍍製程、化學鍍製 程、旋塗製程、其他適用的製程、或其組合沉積硬式罩幕層110。
如第1A圖所示,根據某些實施例,在硬式罩幕層110上方沉積一罩幕層112。罩幕層112用於輔助後續的硬式罩幕層110的圖案化步驟。在某些實施例中,罩幕層112也在後續的圖案化製程中作為抗反射層。在某些實施例中,罩幕層112是由相同於抗反射層108的材料所構成。在某些實施例中,罩幕層112由碳氧化矽、氧化矽、碳化矽、氮氧化矽、氮化矽、碳氧化矽、鉻、氧化鉻、氮化鉻、氮氧化鉻、鈦、其他適合的材料、或其組合所構成。在某些其他實施例中,罩幕層112大致上不含氮。在某些實施例中,使用CVD製程、旋塗製程、物理氣相沉積(PVD)製程、其他適用的製程或其組合沉積罩幕層112。
請參照第1B圖、第1C圖及第4圖,方法400繼續進行步驟406,根據某些實施例,透過使用電漿蝕刻製程蝕刻硬式罩幕層110,以在硬式罩幕層110中形成一開口116。如第1B圖所示,根據某些實施例,在罩幕層112上方形成圖案化的一光阻層111,以用於圖案化罩幕層112。如以上所述,罩幕層112也可作為抗反射層。例如,罩幕層112用於輔助形成圖案化的光阻層111的光微影製程。圖案化的光阻層111具有暴露罩幕層112的一開口114。之後,進行蝕刻製程以將圖案化的光阻層111的圖案轉移至罩幕層112。開口114進一步延伸穿過罩幕層112,以暴露硬式罩幕層110,如第1B圖所示。之後,在某些實施例中,去除光阻層111。可以透過濕式剝離法(wet stripping) 或電漿灰化法(plasma ashing)去除光阻層111。
如第1C圖所示,根據某些實施例,使用電漿蝕刻製程局部地去除硬式罩幕層110,以在硬式罩幕層110中形成一開口116。在某些實施例中,由於在電漿蝕刻製程之前去除光阻層111,所以可以防止電漿蝕刻製程受到光阻層111的不利影響。在某些實施例中,開口116進一步延伸至抗反射層108內,如第1C圖所示。在某些其他實施例中,開口116穿過抗反射層108且暴露介電層106。罩幕層112可以在蝕刻硬式罩幕層110期間作為蝕刻遮罩。可以對本發明的實施例進行多種變化及修改。在某些實施例中,沒有形成罩幕層112。在這些情況下,光阻層111可以在蝕刻硬式罩幕層110期間作為蝕刻遮罩。
仔細地控制電漿蝕刻製程的條件,以確保開口116具有期望的輪廓。如第1C圖所示,開口116具有一側壁115及一底部113。一角度θ1位於開口116的側壁115及底部113之間。在某些實施例中,側壁115是垂直側壁。在某些實施例中,具有這種輪廓的開口116的硬式罩幕層110可以有利於後續的介電層106的圖案化步驟。應當理解,“垂直側壁”的用語並不意味著側壁115必須是完全垂直的。在某些實施例中,側壁115比較像是大致上垂直的側壁。在這些情況下,角度θ1大致上等於90度。在某些實施例中,角度θ1在從約89度到約91度的範圍內。在某些實施例中,角度θ1在從89度到90度的範圍內。
如以上所述,進行電漿蝕刻製程,以在硬式罩幕層110中形成開口116。在某些實施例中,為了進行電漿蝕刻製程,將一氣體混合物引入製程腔室內,第1C圖所示的結構設置 在製程腔室中。在某些實施例中,製程腔室維持在約5毫托耳(mtorr)的壓力下,且激發氣體混合物以產生電漿。電漿經導向以蝕刻暴露的硬式罩幕層110的暴露部分。結果,形成了開口116。在某些實施例中,電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。含鹵素氣體可用於產生主要的蝕刻電漿,主要的蝕刻電漿作為用於蝕刻硬式罩幕層110的主要蝕刻劑。含氮氣體及含碳氣體兩者可以用於在電漿蝕刻製程期間控制開口116的輪廓。由於含氮氣體及含碳氣體,可以形成保護或鈍化材料,以在電漿蝕刻製程期間保護開口的側壁。在某些情況下,如果沒有使用含氮氣體及含碳氣體,開口116可能具有傾斜的側壁及底切輪廓,這可能引起後續形成導電部件的製程難以進行。
為了確保硬式罩幕層110中的開口116具有大致上垂直的側壁115,根據某些實施例,小心地控制氣體混合物的組成。在某些實施例中,氣體混合物的含氮氣體具有控制在從約20%至約30%的範圍內的體積濃度。在某些實施例中,含氮氣體為氮氣。在某些實施例中,含鹵素氣體包括氯氣、溴氣、氯化氫氣體、其他適合的氣體、或其組合。在某些實施例中,含碳氣體包括甲烷氣體、其他適合的氣體或其組合。在某些實施例中,氣體混合物包括氮氣、氯氣及甲烷氣體。在某些實施例中,氣體混合物中氯氣的體積濃度高於甲烷氣體的體積濃度。在某些實施例中,含碳氣體與含鹵素氣體的體積濃度比控制為等於約0.3。
可以透過控制氣體流量來調整氣體混合物中的氣 體的體積濃度。在某些實施例中,氮氣的流量是在從約30sccm到約60sccm的範圍內。氯氣的流量是在從約85sccm到約115sccm的範圍內。甲烷氣體的流量是在從約15sccm到約45sccm的範圍內。在某些其他實施例中,氮氣的流量為約45sccm,氯氣的流量為約100sccm,及甲烷氣體的流量為約30sccm。
研究顯示可以透過微調含氮氣體的體積濃度來控制在硬式罩幕層110中形成的開口116的輪廓。當蝕刻硬式罩幕層110以形成開口116時,可以在硬式罩幕層110的側壁上方形成保護材料或鈍化材料(未繪示)。保護材料可能由於硬式罩幕層110及氣體混合物(及/或透過激發氣體混合物產生的電漿)之間的反應而形成。保護材料可以是含有Ti-Cl-N-C的材料。保護材料的沉積速率可能部分取決於氣體混合物中的含氮氣體的量。保護材料可以減緩電漿蝕刻製程期間的橫向蝕刻速率。透過調整含氮氣體的體積濃度,橫向蝕刻速率因此得以控制。
如以上所述,透過調整氣體混合物中的含氮氣體的量,可以改變在電漿蝕刻製程期間形成的保護材料的沉積速率。在某些實施例中,氣體混合物中的含氮氣體的體積濃度控制為在約20%至約30%的範圍內。在某些情況下,如果氣體混合物中的含氮氣體的體積濃度小於約20%,則因此形成的保護材料可能不充分。結果,在蝕刻製程期間的橫向蝕刻速率可能仍然太高,因此可能形成具有傾斜側壁的開口。由於沒有足夠的保護,開口也可能具有底切輪廓,底切輪廓可能導致後續形成導電部件的製程難以進行。在這些情況下,開口的側壁及開口的底部之間的角度可能小於89度。例如,角度可能小於約85 度。
在某些其他情況下,如果含氮氣體的體積濃度大於約30%,則因此形成的保護材料可能太多。在蝕刻製程期間的橫向蝕刻速率可能太過遲緩。結果,可能形成具有傾斜側壁的開口。開口的側壁及開口的底部之間的角度可以大於91度。例如,角度可以大於約95度。
然而,應當理解,氣體混合物中的含氮氣體的體積濃度不限制於在從約20%至約30%的範圍內。在某些其他情況下,含氮氣體的體積濃度可能在不同的範圍內。例如,氣體混合物中的含氮氣體的體積濃度在從約22%至約28%的範圍內。在某些實施例中,氣體混合物中的含氮氣體的體積濃度為約25%。
如以上所述,根據某些實施例,將氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比進一步控制為等於約0.3。在某些情況下,含碳氣體或由含碳氣體產生的電漿不僅形成保護材料,更與罩幕層112反應。因此,在某些實施例中,為了確保罩幕層112的開口具有期望的尺寸,氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比大致上維持在例如約0.3的常數。透過控制氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3,可以實現開口的適當的蝕刻速度及適合的蝕刻輪廓。
在某些情況下,如果含碳氣體與含鹵素氣體的體積濃度比不等於約0.3,則罩幕層112的開口的形狀及輪廓可能在電漿蝕刻製程期間改變。因此,也不利地影響硬式罩幕層110 中的開口116的輪廓。
請參照第1D圖及第4圖,根據某些實施例,方法400繼續進行步驟408,透過硬式罩幕層110中的開口116蝕刻介電層106,以形成一部件開口118。如第1D圖所示,根據某些實施例,硬式罩幕層110作為蝕刻遮罩來輔助部件開口118的形成。透過硬式罩幕層110中的開口116蝕刻介電層106。在某些實施例中,部件開口118是一溝槽開口,如第1D圖所示。然而,應當理解的是,本發明的實施例具有多種變化。在某些其他實施例中,部件開口118是一導通孔。在某些其他實施例中,部件開口118是一接觸孔。
由於開口116的側壁115是大致上垂直的,因此當與包括具有傾斜側壁的開口的硬式罩幕層相比時,硬式罩幕層110圍繞開口116的部分具有相對均勻的厚度。因此,硬式罩幕層110圍繞開口116的部分具有充足且均勻的厚度以在後續的蝕刻製程期間保護下方的介電層106。
如第1D圖所示,部件開口118具有一側壁119及一底部117。角度θ2位於部件開口118的側壁119及底部117之間。在某些實施例中,由於位於硬式罩幕層110下方的介電層106在用於形成部件開口118的蝕刻製程期間受到良好的保護,所以側壁119與第1C圖所示之側壁115大致上共平面。側壁119也是大致上垂直的側壁。角度θ2大致上等於90度。在某些實施例中,角度θ2在從約89度到約91度的範圍內。在某些其他實施例中,角度θ2在從89度到約90度的範圍內。由於開口116的輪廓受到控制,所以部件開口118的輪廓也被良好地控制為具有垂 直側壁。使用上述方法形成的不同部件開口的輪廓均勻性(包括深度均勻性)也獲得改善。研究顯示在具有垂直側壁的開口下方形成介電層106中的開口能夠具有充足及均勻的深度。
如第1E圖所示,根據某些實施例,局部地去除介電層106,以形成暴露導電部件102的一導通孔120。可以使用光微影製程及蝕刻製程局部地去除介電層106,從而暴露蝕刻終止層104的一部分。之後,進行另一蝕刻製程,以去除蝕刻終止層104的暴露部分,而形成導通孔120。如第1E圖所示,導通孔120暴露出導電部件102。可以對本發明的實施例進行多種變化及修改。在某些其他實施例中,可以使用類似於硬式罩幕層110的一硬式罩幕層輔助形成導通孔120。
請參照第1F圖及第4圖,根據某些實施例,方法400繼續進行步驟410,在部件開口118中形成一種或多種導電材料。如第1F圖所示,根據某些實施例,在罩幕層112上方以及在部件開口118及導通孔120的底部及側壁上沉積一隔離層122。之後,根據某些實施例,在隔離層122上方沉積一種或多種導電材料124,如第1F圖所示。隔離層122用於保護介電層106不被來自導電材料124的金屬材料的擴散影響。隔離層122也可作為導電材料124及介電層106之間的黏著層。
在某些實施例中,隔離層122是由氮化鈦、氮化鉭、鈦、氮化鎢、其他適合的材料、或其組合所構成。在某些實施例中,使用PVD製程、CVD製程、ALD製程、化學鍍製程、其他適用的製程或其組合沉積隔離層122。
在某些實施例中,導電材料124是由銅、鋁、鎢、 鈦、鎳、金、鉑、其他適合的導電材料、或其組合所構成。在某些實施例中,利用電化學鍍製程、化學鍍製程、PVD製程、CVD製程、旋塗製程、其他適用的製程、或其組合沉積導電材料124。
在某些實施例中,根據某些實施例,在沉積導電材料124之前,在隔離層122上方沉積一晶種層(未繪示)。在某些實施例中,晶種層順應性地形成於隔離層122上方。晶種層用於輔助導電材料124的形成。
在某些實施例中,晶種層是由銅或銅合金所構成。在某些實施例中,晶種層包括銅、銀、金、鈦、鋁、鎢、其他適合的材料、或其組合。在某些實施例中,使用PVD製程、CVD製程、其他適用的製程、或其組合沉積晶種層。本發明的實施例可以具有很多變化。在某些其他實施例中,沒有形成晶種層。在某些其他實施例中,沒有形成隔離層122。
如第1G圖所示,根據某些實施例,去除導電材料124及隔離層122位於部件開口118外側的部分。在某些實施例中,在導電材料124上進行平坦化製程。在某些實施例中,在平坦化製程期間也去除抗反射層108、硬式罩幕層110及罩幕層112。平坦化製程可以包括化學機械拋光(chemical mechanical polishing,CMP)製程、研磨製程、蝕刻製程、其他適用的製程或其組合。結果,形成包括導電部件126及128的雙鑲嵌結構。在某些實施例中,導電部件126作為導電通孔,且導電部件128作為導電線。在具有垂直側壁115的硬式罩幕層110的協助下,部件開口118的輪廓受到良好的控制,因此提高了導電部件128 的電性品質。
可以對本發明的實施例進行多種變化及修改。例如,可以改變溝槽開口及導通孔的形成順序。第2A圖至第2E圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。在某些實施例中,方法400也可以應用於第2A圖至第2E圖所示之實施例中。如第2A圖所示,提供類似於第1C圖中所示之結構的結構。該結構的材料及形成方法說明於前述實施例中,且不再重複。
如第2A圖所示,根據某些實施例,在類似於第1C圖中所示之結構上方形成圖案化的一罩幕層202。圖案化的罩幕層202具有暴露抗反射層108的一開口204。在某些實施例中,圖案化的罩幕層202是圖案化的一光阻層。在某些其他實施例中,圖案化的罩幕層202是類似於硬式罩幕層110的一硬式罩幕層。
如第2B圖所示,根據某些實施例,透過開口204蝕刻介電層106,以在介電層106中形成一孔洞206。之後,去除罩幕層202。在某些實施例中,孔洞206的底部與蝕刻終止層104間隔一距離,如第2B圖所示。在某些其他實施例中,孔洞206暴露蝕刻終止層104。
如第2C圖所示,根據某些實施例,透過硬式罩幕層110的開口116蝕刻介電層106,以形成一溝槽開口208。在某些實施例中,孔洞206進一步向下延伸,以暴露出蝕刻終止層104。之後,使用另一蝕刻製程,以去除蝕刻終止層104的暴露部分,從而暴露出導電部件102。如第2C圖所示,形成暴露導 電部件102的一導通孔210。
如第2D圖所示,根據某些實施例,類似於第1F圖中所示之實施例,形成隔離層122及導電材料124。隔離層122及導電材料124填充溝槽開口208及導通孔210。
如第2E圖所示,根據某些實施例,去除導電材料124及隔離層122的位於溝槽開口208外側的部分。在某些實施例中,在導電材料124上進行平坦化製程。在某些實施例中,在平坦化製程期間也去除抗反射層108、硬式罩幕層110及罩幕層112。平坦化製程可以包括化學機械拋光(CMP)製程、研磨製程、蝕刻製程、其他適用的製程或其組合。結果,形成包括導電部件226及228的雙鑲嵌結構。在某些實施例中,導電部件226作為導電通孔,且導電部件228作為導電線。
可以對本發明的實施例進行多種變化及修改。例如,不限制於透過使用雙鑲嵌製程形成半導體裝置結構。在某些其他實施例中,使用單一或多重單鑲嵌製程形成半導體裝置結構。第3A圖至第3F圖係繪示出根據某些實施例之半導體裝置結構的製造過程的各個階段的剖面示意圖。在某些實施例中,方法400也可以應用於第3A圖至第3F圖所示之實施例中。
如第3A圖所示,提供類似於第1A圖中所示之結構的結構。半導體基底100、導電部件102及蝕刻終止層104的材料及/或形成方法已描述且不再重複。如第3A圖所示,在蝕刻終止層104上方沉積一介電層306。在某些實施例中,介電層306的材料及形成方法類似於介電層106的材料及形成方法。
如第3A圖所示,根據某些實施例,在介電層306上 方形成一抗反射層308、一硬式罩幕層310及一罩幕層312。在某些實施例中,它們的材料及形成方法類似於抗反射層108、硬式罩幕層110及罩幕層112的材料及形成方法。
類似於第1B圖及第1C圖所示之實施例,將罩幕層312圖案化,以輔助硬式罩幕層310的圖案化。如第3A圖所示,在硬式罩幕層310中形成一開口316。在某些實施例中,開口316延伸至抗反射層308內。在某些實施例中,開口316暴露介電層306。在某些實施例中,開口116的形成方法類似於開口316的形成方法。因此,開口316也具有大致上垂直的側壁。
如第3B圖所示,根據某些實施例,透過硬式罩幕層310中的開口316蝕刻介電層306,以形成一部件開口318。在某些實施例中,使用另一種蝕刻製程對蝕刻終止層104蝕刻,從而使得部件開口318暴露導電部件102。類似於部件開口118,部件開口318也具有大致上垂直的側壁。在某些實施例中,部件開口318作為接觸孔。在這些情況下,導電部件102可以是源極/汲極區或閘極電極。在某些其他實施例中,部件開口318作為導通孔。在這些情況下,導電部件102可以是導電線或導電墊。
之後,在部件開口318中形成一隔離層320及一導電材料324。在某些實施例中,隔離層320及導電材料324的材料及形成方法可以類似於隔離層122及導電材料124的材料及形成方法。類似地,進行平坦化製程,以去除位於部件開口318外側的隔離層320及導電材料324,以及去除位於介電層306上方的抗反射層308、硬式罩幕層310及罩幕層312。結果,根據 某些實施例,形成了一導電部件326,如第3C圖所示。在這些情況下,導電部件326是使用單鑲嵌製程形成的。在某些實施例中,導電部件326用作導電接觸部件。在某些其他實施例中,該導電部件326用作導電通孔。
如第3D圖所示,根據某些實施例,在導電部件326及介電層306上方沉積一蝕刻終止層328。在某些實施例中,蝕刻終止層328的材料及形成方法類似於蝕刻終止層104的材料及形成方法。之後,在蝕刻終止層328上方沉積介電層330,如第3D圖所示。在某些實施例中,介電層330的材料及形成方法類似於介電層106的材料及形成方法。
之後,根據某些實施例,在介電層330上方沉積一抗反射層332、一硬式罩幕層334及一罩幕層336,如第3D圖所示。在某些實施例中,它們的材料及形成方法類似於抗反射層108、硬式罩幕層110及罩幕層112的材料及形成方法。
如第3E圖所示,根據某些實施例,形成一部件開口337,以暴露導電部件326。在某些實施例中,部件開口337作為一溝槽開口。部件開口337的形成方法類似於部件開口318的形成方法。仔細地控制用於圖案化硬式罩幕層334的蝕刻條件,以確保硬式罩幕層334具有大致上垂直的側壁。之後,將硬式罩幕層334作為蝕刻遮罩來蝕刻介電層330。結果,部件開口337也具有大致上垂直的側壁。
之後,根據某些實施例,在部件開口337中形成一隔離層338及一導電材料340,如第3F圖所示。在某些實施例中,隔離層338及導電材料340的材料及形成方法類似於隔離層 122及導電材料124的材料及形成方法。類似地,進行平坦化製程,以去除位於部件開口337外側的隔離層338及導電材料340,以及去除位於介電層330上方的抗反射層332、硬式罩幕層334及罩幕層336。結果,根據某些實施例,形成了一導電部件342,如第3F圖所示。在這些情況下,導電部件342是使用單鑲嵌製程形成的。在某些實施例中,導電部件342作為導電線。
本發明實施例使用硬式罩幕層作為蝕刻遮罩來輔助介電層中的部件開口的形成。用於在硬式罩幕層中形成開口的電漿蝕刻製程中使用氣體混合物,精心維持氣體混合物的組分,以確保在硬式罩幕層中形成的開口具有垂直側壁。之後,透過具有垂直側壁的開口蝕刻介電層,以在介電層中形成部件開口。在具有垂直側壁的硬式罩幕層的幫助下,部件開口也相應地具有垂直側壁。之後,以一種或多種導電材料填充部件開口,進而形成導電部件。由於良好地控制部件開口的輪廓,因此提高了導電部件的品質及可靠度。
根據某些實施例,提供一種半導體裝置結構的製造方法。該方法包括在一半導體基底上方形成一介電層,且在介電層上方形成一硬式罩幕層。該方法也包括進行電漿蝕刻製程蝕刻硬式罩幕層,以形成一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,且氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3。該方法更包括透過硬式罩幕層中的開口蝕刻介電層,以在介電層中形成一部件開口。再者,該方法包括在部件開口中形成一 導電材料。
根據某些實施例,提供一種半導體裝置結構的製造方法。該方法包括在一半導體基底上方形成一介電層,且在介電層上方形成一硬式罩幕層。該方法也包括在硬式罩幕層上方形成一罩幕層,且透過使用一光阻層圖案化罩幕層。該方法更包括去除光阻層,且在去除光阻層之後,進行電漿蝕刻製程蝕刻硬式罩幕層,以形成一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3。當蝕刻硬式罩幕層以形成開口時,圖案化的罩幕層作為蝕刻遮罩。再者,該方法包括透過硬式罩幕層中的開口蝕刻介電層,以在介電層中形成一部件開口,且在部件開口中形成一導電材料。
根據某些實施例,提供一種半導體裝置結構的製造方法。該方法包括在一半導體基底上方形成一介電層,且在介電層上方形成一硬式罩幕層。該方法也包括在硬式罩幕層上方形成一罩幕層,且透過使用一光阻層圖案化罩幕層。該方法更包括去除光阻層,且在去除光阻層之後,進行電漿蝕刻製程蝕刻硬式罩幕層,以形成具有垂直側壁的一開口。電漿蝕刻製程中使用的氣體混合物包括含氮氣體、含鹵素氣體及含碳氣體。氣體混合物的含氮氣體的體積濃度在從約20%至約30%的範圍內,氣體混合物中的含碳氣體與含鹵素氣體的體積濃度比等於約0.3。當蝕刻硬式罩幕層以形成開口時,圖案化的罩幕層作為蝕刻遮罩。再者,該方法包括透過硬式罩幕層中的開口 蝕刻介電層,以在介電層中形成一部件開口,且在部件開口中形成一導電材料。
以上概略說明了本發明數個實施例之特徵,使所屬技術領域中具有通常知識者對於後續本發明的詳細說明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本發明實施例之目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本發明之精神及保護範圍內,且可在不脫離本發明之精神及範圍內,當可作更動、替代與潤飾。
400‧‧‧方法
402、404、406、408、410‧‧‧步驟

Claims (10)

  1. 一種半導體裝置結構的製造方法,包括:在一半導體基底上方形成一介電層;在該介電層上方形成一硬式罩幕層;進行一電漿蝕刻製程蝕刻該硬式罩幕層,以形成一開口,其中該電漿蝕刻製程中使用的一氣體混合物包括一含氮氣體、一含鹵素氣體及一含碳氣體,該氣體混合物的該含氮氣體的體積濃度在從20%至30%的範圍內,且該氣體混合物中的該含碳氣體與該含鹵素氣體的體積濃度比等於0.3;透過該硬式罩幕層中的該開口蝕刻該介電層,以在該介電層中形成一部件開口;以及在該部件開口中形成一導電材料。
  2. 如申請專利範圍第1項所述之半導體裝置結構的製造方法,其中該含氮氣體為氮氣,該含鹵素氣體包括氯氣,且該含碳氣體包括甲烷氣體。
  3. 如申請專利範圍第1項所述之半導體裝置結構的製造方法,其中該硬式罩幕層包括金屬材料或氮化鈦。
  4. 如申請專利範圍第1項所述之半導體裝置結構的製造方法,更包括在形成該導電材料之前,局部地去除該介電層,以形成從該部件開口的底部延伸的一導通孔。
  5. 如申請專利範圍第1項所述之半導體裝置結構的製造方法,更包括在形成該部件開口之前以及在該硬式罩幕層中形成該開口之後,在該介電層中形成一導通孔。
  6. 一種半導體裝置結構的製造方法,包括: 在一半導體基底上方形成一介電層;在該介電層上方形成一硬式罩幕層;在該硬式罩幕層上方形成一罩幕層;透過使用一光阻層圖案化該罩幕層;去除該光阻層;在去除該光阻層之後,進行一電漿蝕刻製程蝕刻該硬式罩幕層,以形成一開口,其中該電漿蝕刻製程中使用的一氣體混合物包括一含氮氣體、一含鹵素氣體及一含碳氣體,該氣體混合物的該含氮氣體的體積濃度在從20%至30%的範圍內,該氣體混合物中的該含碳氣體與該含鹵素氣體的體積濃度比等於0.3,且當蝕刻該硬式罩幕層以形成該開口時,圖案化的該罩幕層作為蝕刻遮罩;透過該硬式罩幕層中的該開口蝕刻該介電層,以在該介電層中形成一部件開口;以及在該部件開口中形成一導電材料。
  7. 如申請專利範圍第6項所述之半導體裝置結構的製造方法,其中該罩幕層由碳氧化矽所構成,且該硬式罩幕層包括氮化鈦。
  8. 如申請專利範圍第7項所述之半導體裝置結構的製造方法,其中該含氮氣體為氮氣,該含鹵素氣體為氯氣,且該含碳氣體為甲烷氣體。
  9. 一種半導體裝置結構的製造方法,包括:在一半導體基底上方形成一介電層;在該介電層上方形成一硬式罩幕層; 在該硬式罩幕層上方形成一罩幕層;透過使用一光阻層圖案化該罩幕層;去除該光阻層;在去除該光阻層之後,進行一電漿蝕刻製程蝕刻該硬式罩幕層,以形成具有垂直側壁的一開口,其中該電漿蝕刻製程中使用的氣體混合物包括一含氮氣體、一含鹵素氣體及一含碳氣體,該氣體混合物的該含氮氣體的體積濃度在從20%至30%的範圍內,該氣體混合物中的該含碳氣體與該含鹵素氣體的體積濃度比等於0.3,且當蝕刻該硬式罩幕層以形成該開口時,圖案化的該罩幕層作為蝕刻遮罩;透過該硬式罩幕層中的該開口蝕刻該介電層,以在該介電層中形成一部件開口;以及在該部件開口中形成一導電材料。
  10. 如申請專利範圍第9項所述之半導體裝置結構的製造方法,更包括在形成該硬式罩幕層之前,在該介電層上方形成一抗反射層。
TW104136274A 2014-12-26 2015-11-04 半導體裝置結構的製造方法 TWI588935B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/583,238 US9425094B2 (en) 2014-12-26 2014-12-26 Mechanisms for forming semiconductor device structure with feature opening

Publications (2)

Publication Number Publication Date
TW201624613A TW201624613A (zh) 2016-07-01
TWI588935B true TWI588935B (zh) 2017-06-21

Family

ID=56165066

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104136274A TWI588935B (zh) 2014-12-26 2015-11-04 半導體裝置結構的製造方法

Country Status (4)

Country Link
US (2) US9425094B2 (zh)
KR (1) KR101752539B1 (zh)
CN (1) CN105742233B (zh)
TW (1) TWI588935B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102614850B1 (ko) * 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10181420B2 (en) * 2017-02-06 2019-01-15 Globalfoundries Inc. Devices with chamfer-less vias multi-patterning and methods for forming chamfer-less vias
US11101429B2 (en) * 2018-09-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Metal etching stop layer in magnetic tunnel junction memory cells
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US10811270B2 (en) * 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070093069A1 (en) * 2005-10-21 2007-04-26 Chien-Hua Tsai Purge process after dry etching
US20080305639A1 (en) * 2007-06-07 2008-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US20130230983A1 (en) * 2007-01-22 2013-09-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US7129162B2 (en) * 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US20060134921A1 (en) * 2003-05-01 2006-06-22 Chih-Ning Wu Plasma etching process
US20050079703A1 (en) * 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure
US8617986B2 (en) * 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
US20120064713A1 (en) * 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
CN103426749B (zh) * 2012-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 开口的形成方法和堆叠结构
CN103531531B (zh) * 2012-07-05 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种用于制造半导体器件的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070093069A1 (en) * 2005-10-21 2007-04-26 Chien-Hua Tsai Purge process after dry etching
US20130230983A1 (en) * 2007-01-22 2013-09-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US20080305639A1 (en) * 2007-06-07 2008-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process

Also Published As

Publication number Publication date
KR20160079599A (ko) 2016-07-06
CN105742233B (zh) 2019-04-26
US20160190006A1 (en) 2016-06-30
CN105742233A (zh) 2016-07-06
US20160358819A1 (en) 2016-12-08
US10002790B2 (en) 2018-06-19
TW201624613A (zh) 2016-07-01
KR101752539B1 (ko) 2017-06-29
US9425094B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
US10847418B2 (en) Formation method of damascene structure
TWI588935B (zh) 半導體裝置結構的製造方法
US9997404B2 (en) Method of forming an interconnect structure for a semiconductor device
US9536964B2 (en) Method for forming via profile of interconnect structure of semiconductor device structure
US7871923B2 (en) Self-aligned air-gap in interconnect structures
CN107068555B (zh) 形成沟槽的方法
US9406614B2 (en) Material and process for copper barrier layer
US9224643B2 (en) Structure and method for tunable interconnect scheme
TWI567890B (zh) 半導體裝置結構及其製造方法
JP2006041519A (ja) デュアルダマシン配線の製造方法
US10714421B2 (en) Structure and formation method of semiconductor device with self-aligned conductive features
US11450558B2 (en) Metal interconnect structure and method for fabricating the same
US20200043851A1 (en) Interconnect Structure for Semiconductor Devices
TW201732971A (zh) 半導體裝置結構的形成方法
TW202303759A (zh) 內連線結構的形成方法
TW201732905A (zh) 半導體裝置結構之形成方法
TW202236424A (zh) 半導體裝置的製造方法
TWI518766B (zh) 在半導體基底上形成開口的方法