KR101752539B1 - 피처 개구를 갖는 반도체 장치 구조체를 형성하기 위한 방법 - Google Patents

피처 개구를 갖는 반도체 장치 구조체를 형성하기 위한 방법 Download PDF

Info

Publication number
KR101752539B1
KR101752539B1 KR1020150025795A KR20150025795A KR101752539B1 KR 101752539 B1 KR101752539 B1 KR 101752539B1 KR 1020150025795 A KR1020150025795 A KR 1020150025795A KR 20150025795 A KR20150025795 A KR 20150025795A KR 101752539 B1 KR101752539 B1 KR 101752539B1
Authority
KR
South Korea
Prior art keywords
layer
containing gas
opening
forming
dielectric layer
Prior art date
Application number
KR1020150025795A
Other languages
English (en)
Other versions
KR20160079599A (ko
Inventor
융추 첸
유슈 첸
유쳉 류
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160079599A publication Critical patent/KR20160079599A/ko
Application granted granted Critical
Publication of KR101752539B1 publication Critical patent/KR101752539B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

반도체 장치 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 유전층을 형성하는 단계와, 유전층 위에 하드 마스크층을 형성하는 단계를 포함한다. 방법은 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행해서 개구를 형성하는 단계를 또한 포함하고, 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함한다. 기체 혼합물은 약 20% 내지 약 30% 범위 내에 있는 질소 함유 기체의 체적 농도를 갖는다. 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이다. 방법은 하드 마스크층 내의 개구를 통해 유전층을 에칭하여 유전층 내에 피처 개구(feature opening)를 형성하는 단계를 더 포함한다. 방법은 피처 개구 내에 전도성 물질을 형성하는 단계를 포함한다.

Description

피처 개구를 갖는 반도체 장치 구조체를 형성하기 위한 방법{MECHANISMS FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE WITH FEATURE OPENING}
반도체 집적 회로(integrated circuit; IC) 산업은 급속한 성장을 경험해 왔다. IC 물질 및 설계에 있어서의 기술적 진보는 여러 세대들의 IC를 생산하여 왔다. 각 세대는 이전 세대보다 더 작고 더 복잡한 회로를 갖는다.
IC 진화 동안에, 기능적 밀도(즉, 칩 면적당 상호연결된 장치들의 개수)가 일반적으로 증가해온 반면, 기하학적 크기(즉, 제조 프로세스를 사용해서 생성될 수 있는 최소 컴포넌트(또는 라인))는 감소되어 왔다. 이러한 크기 감소(scaling-down) 프로세스는 일반적으로 제조 효율성을 증가시키고 연관된 비용을 감소시킴으로써 이익을 제공한다. 하지만, 이러한 진보는 IC를 처리하고 제조하는 복잡성을 증가시켜 왔다.
피처(feature) 크기가 계속해서 감소하므로, 제조 프로세스는 수행하기가 계속해서 더 어렵게 된다. 그러므로, 계속해서 더 작은 크기로 신뢰성있는 반도체 장치를 형성하는 것은 어려운 문제(challenge)이다.
반도체 장치 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 유전층을 형성하는 단계와, 유전층 위에 하드 마스크층을 형성하는 단계를 포함한다. 방법은 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행해서 개구를 형성하는 단계를 또한 포함하고, 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함한다. 기체 혼합물은 약 20% 내지 약 30% 범위 내에 있는 질소 함유 기체의 체적 농도를 갖는다. 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이다. 방법은 하드 마스크층 내의 개구를 통해 유전층을 에칭하여 유전층 내에 피처 개구를 형성하는 단계를 더 포함한다. 방법은 피처 개구 내에 전도성 물질을 형성하는 단계를 포함한다.
본 발명 개시의 양상은 첨부한 도면과 함께 읽을 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준적 관행에 따라, 다양한 특징부들은 실제 크기대로 도시되지 않는 것을 주목해야 한다. 사실상, 다양한 특징부들의 치수는 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1a 내지 1g는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지(stage)들의 개략적 단면도이다.
도 2a 내지 2e는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지들의 개략적 단면도이다.
도 3a 내지 3f는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지들의 개략적 단면도이다.
도 4는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 방법을 예증하는 흐름도이다.
하기의 개시는 제공되는 특허 청구 대상의 상이한 특징부들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다. 컴포넌트들 및 장치들의 특정 예시는 본 발명을 단순화시키기 위해 이하에서 설명된다. 물론, 이것들은 단지 예시이고, 제한하는 것으로 의도되지 않는다. 예를 들면, 이하의 설명에서 제2 특징부 위에 또는 제2 특징부 상에 제1 특징부의 형성은, 제1 및 제2 특징부들이 직접 접촉해서 형성되는 실시예를 포함하고, 추가적인 특징부가 제1 및 제2 특징부 사이에 형성될 수 있어서 제1 및 제2 특징부가 직접 접촉될 수 없는 실시예를 또한 포함할 수 있다. 또한, 본 발명 개시는 다양한 예시들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 간략함과 명료함을 위한 것이고, 논의되는 다양한 실시예들 및/또는 구성들 사이의 관계를 본질적으로 지시하지는 않는다.
또한, "밑에", "아래에", "더 낮은", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에서 예증되는 바와 같이 하나의 요소 또는 특징부와 다른 요소(들) 또는 특징부(들)간의 관계를 설명하도록 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에서 묘사된 방위에 추가적으로, 사용 또는 동작 중인 장치의 상이한 방위들을 포괄하도록 의도된다. 장치는 이와는 다르게 지향될(90도 또는 다른 방위로 회전됨) 수 있고, 본 명세서에서 사용되는 공간적으로 상대적인 설명자는 이에 따라 마찬가지로 해석될 수 있다.
본 발명 개시의 일부 실시예가 설명된다. 도 1a 내지 1g는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지들의 단면도이다. 일부 실시예에 따라, 추가적인 동작이 도 1a 내지 1g에서 설명된 단계들 이전, 동안, 및/또는 이후에 제공될 수 있다. 도 4는 일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 방법(400)을 예증하는 흐름도이다. 예증을 위해, 흐름도가 도 1a 내지 1g에서 도시된 단면도들과 관련해서 설명될 것이다. 설명된 스테이지들의 일부는 상이한 실시예들을 위해 대체되거나 제거될 수 있다. 추가적인 특징부들이 반도체 장치 구조체 내에 추가될 수 있다. 이하에서 설명된 특징부들의 일부는 상이한 실시예들을 위해 대체되거나 제거될 수 있다.
도 1a 및 4를 참조하면, 방법(400)은 유전층(106)이 일부 실시예에 따라 반도체 기판(100) 위에 형성되는 단계(402)에서 시작한다. 도 1a에 도시된 바와 같이, 반도체 기판(100)이 제공된다. 일부 실시예에서, 반도체 기판(100)은 예를 들면, 반도체 웨이퍼와 같은 벌크 반도체 기판이다. 예를 들면, 반도체 기판(100)은 실리콘, 또는 예를 들면, 게르마늄과 같은 다른 단원소 반도체(elementary semiconductor) 물질을 포함한다. 일부 다른 실시예에서, 반도체 기판(100)은 화합물 반도체를 포함한다. 화합물 반도체는 실리콘 탄화물, 갈륨 비화물, 인듐 비화물, 인듐 인화물, 다른 적절한 화합물 반도체, 또는 이 물질들의 조합을 포함할 수 있다. 일부 실시예에서, 반도체 기판(100)은 절연체 상 반도체(semiconductor-on-insulator; SOI) 기판을 포함한다. SOI 기판은 산소 주입에 의한 분리(separation by implantation of oxygen; SIMOX) 프로세스, 웨이퍼 본딩 프로세스, 다른 적용가능한 방법, 또는 이것들의 조합을 사용해서 제조될 수 있다.
일부 실시예에서, 반도체 기판(100) 내에 형성된 다양한 장치 요소(미도시됨)를 규정하고 격리시키도록, 격리 피처(미도시됨)가 반도체 기판(100) 내에 형성된다. 격리 피처는 예를 들면, 트렌치 격리(trench isolation; STI) 피처 또는 실리콘의 국부적 산화(local oxidation of silicon; LOCOS) 피처를 포함한다. 일부 실시예에서, 격리 피처 각각은 다중층 구조체를 갖는다. 일부 실시예에서, 격리 피처는 하나 이상의 유전 물질들로 제조된다. 유전 물질들은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 불소로 도핑된 규산염 유리(fluoride-doped silicate glass; FSG), 로우-k 유전 물질, 다른 적절한 물질, 또는 이 물질들의 조합을 포함할 수 있다. 일부 실시예에서, STI 라이너(미도시됨)가 반도체 기판(100)과 격리 피처 사이의 계면에서 결정질 결함을 감소시키도록 형성된다.
반도체 기판(100) 내에 형성될 수 있는 다양한 장치 요소들의 예시는 트랜지스터(예를 들면, 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET), 상보형 금속 산화물 반도체(complementary metal oxide semiconductor; CMOS), 양극성 접합 트랜지스터(bipolar junction transistors; BJT), 고전압 트랜지스터, 고주파수 트랜지스터, p-채널 및/또는 n 채널 전계 효과 트랜지스터(p-channel and/or n channel field effect transistor; PFET/NFET) 등), 다이오드, 다른 적절한 소자, 또는 이것들의 조합을 포함한다. 예를 들면, 퇴적, 에칭, 주입, 포토리소그래피, 어닐링, 평탄화, 다른 적용가능한 프로세스, 또는 이것들의 조합과 같은 다양한 프로세스들이 다양한 장치 요소들을 형성하도록 수행된다.
예시적인 전도성 피처(102)가 예증을 위해 도 1a에서 도시된다. 일부 실시예에서, 전도성 피처(102)는 소스/드레인 피처이다. 소스/드레인 피처는 도핑된 영역 또는 융기된 에피택셜하게 성장된 피처를 포함할 수 있다. 일부 실시예에서, 전도성 피처(102)는 게이트 전극이다. 대안적으로, 전도성 피처(102)는 소스/드레인 피처 또는 게이트 전극 상에 형성된 금속 실리사이드 피처일 수 있다. 일부 실시예에서, 금속 실리사이드 피처는 자가-정렬된 실리사이드(샐리사이드) 기술을 사용해서 형성된다.
일부 다른 실시예에서, 전도성 피처(102)는 커패시터의 하나의 전극 또는 저항의 한 단부를 포함한다. 일부 실시예에서, 반도체 기판(100)은 상호연결 구조체의 부분을 포함한다. 전도성 피처(102)는 전도성 접촉부, 전도성 라인, 전도성 비아, 다른 적절한 전도성 요소, 또는 이것들의 조합을 포함할 수 있다.
도 1a에 도시된 바와 같이, 일부 실시예에 따라, 에칭 정지층(104)이 반도체 기판(100)과 전도성 피처(102) 위에 퇴적된다. 에칭 정치층(104)은 접촉 홀, 비아 홀, 및/또는 트렌치를 형성하기 위해 후속 프로세스 동안 전도성 피처(102)가 손상되는 것으로부터 보호하도록 사용된다. 일부 실시예에서, 에칭 정치층(104)은 실리콘 질화물(SiN), 실리콘 산화질화물(SiON), 실리콘 탄화물(SiC), 실리콘 탄화질화물(SiCN), 실리콘 산화탄화물(SiCO), 다른 적절한 물질, 또는 이 물질들의 조합으로 제조된다. 일부 실시예에서, 에칭 정치층(104)은 화학적 증기 퇴적(chemical vapor deposition; CVD) 프로세스, 스핀-온 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다. 많은 변형 및 수정이 본 개시의 실시예에 가해질 수 있다. 일부 다른 실시예에서, 에칭 정치층(104)이 형성되지 않는다.
도 1a에 도시된 바와 같이, 일부 실시예에 따라, 유전층(106)이 에칭 정지층(104) 위에 퇴적된다. 유전층(106)은 금속간 유전(inter-metal dielectric; IMD)층 또는 층간 유전체(inter-layer dielectric; ILD)층으로서 기능할 수 있다. 일부 실시예에서, 유전층(106)은 로우-k 유전 물질로 제조된다. 로우-k 유전 물질은 실리콘 이산화물의 유전 상수보다 작은 유전 상수를 갖는다. 예를 들면, 로우-k 유전 물질은 약 1.2 내지 약 3.5 범위 내의 유전 상수를 갖는다. 반도체 장치의 밀도가 증가하고, 반도체 장치의 회로 요소의 크기가 더 작아짐에 따라, 저항 용량(resistance capacitance; RC) 지연 시간이 회로 성능을 점점 더 지배한다. 로우-k 유전 물질을 유전층(106)으로 사용하는 것은 RC 지연을 감소시키는 데에 도움이 된다.
일부 실시예에서, 유전층(106)은 스핀-온 무기 유전체, 스핀-온 유기 유전체, 다공성 유전 물질, 유기 폴리머, 유기 실리카 글래스, SiOF 시리즈 물질, 수소실세스콰이옥산(hydrogen silsesquioxane; HSQ) 시리즈 물질, 메틸실세스퀴옥산(methyl silsesquioxane; MSQ) 시리즈 물질, 다공성 유기 시리즈 물질, 다른 적절한 물질, 또는 이 물질들의 조합을 포함한다. 일부 실시예에서, 유전층(106)은 Si, C, O, 또는 H를 포함하는 물질을 포함한다. 예를 들면, 유전층(106)은 SiO2, SiOC, SiON, SiCOH, SiOCN, 또는 이 물질들의 조합을 포함한다. 일부 실시예에서, 유전층(106)은, 예를 들면 불소로 도핑된
Figure 112015018197354-pat00001
와 같은, 불소로 도핑된 불소로-도핑된 규산염 유리(fluorine-doped silicate glass; FSG)를 포함한다. 일부 실시예에서, 유전층(106)은 CVD 프로세스, 원자층 퇴적(atomic layer deposition; ALD) 프로세스, 스핀-온 프로세스, 분사 코팅 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
도 1a 내지 4를 참조하면, 일부 실시예에 따라, 방법(400)은, 하드 마스크층(110)이 유전층(106) 위에 형성되는 단계(404)에서 계속된다. 도 1a에 도시된 바와 같이, 일부 실시예에 따라, 하드 마스크층(110)을 형성하기 전에, 반사 방지층(108)이 유전층(106) 위에 퇴적된다. 일부 실시예에서, 반사 방지층(108)은 후속 패터닝된 동작들을 돕기 위해 사용될 수 있다. 일부 실시예에서, 반사 방지층(108)은 실리콘 산화물, 실리콘 탄화물, 실리콘 탄소 산화물, 실리콘 산화질화물, 실리콘 질화물, 실리콘 산화탄화물, 크롬, 크롬 산화물, 크롬 질화물, 크롬 산화질화물, 티타늄, 다른 적절한 물질, 또는 이 물질들의 조합으로 제조된다. 일부 다른 실시예에서, 반사 방지층(108)은 실질적으로 무질소이다. 일부 실시예에서, 반사 방지층(108)은 CVD 프로세스, 스핀-온 프로세스, 물리적 증기 퇴적(physical vapor deposition; PVD) 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
하지만, 많은 변형 및 수정이 본 개시의 실시예에 대해 수행될 수 있음을 인식해야 한다. 일부 실시예에서, 반사 방지층(108)이 형성되지 않는다.
도 1a에 도시된 바와 같이, 일부 실시예에 따라, 하드 마스크층(110)이 반사 방지층(108)(및/또는 유전층(106)) 위에 퇴적된다. 하드 마스크층(110)은 유전층(106)의 후속 패터닝 동작들을 돕기 위해 사용된다. 일부 실시예에서, 하드 마스크층(110)은 금속 물질을 포함한다. 일부 실시예에서, 하드 마스크층(110)은 티타늄 질화물, 티타늄, 다른 적절한 물질, 또는 이 물질들의 조합으로 제조된다. 일부 실시예에서, 하드 마스크층(110)은 단일층이다. 일부 다른 실시예에서, 하드 마스크층(110)은 다중 서브층들을 포함하는 다중층 구조를 갖는다. 일부 실시예에서, 하드 마스크층(110)의 두께는 약 200 Å 내지 약 500 Å 범위이다. 일부 실시예에서, 하드 마스크층(110)은 CVD 프로세스, PVD 프로세스, 전기도금 프로세스, 무전해 도금 프로세스, 스핀-온 프로세스, 다른 적용가능한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
도 1a에 도시된 바와 같이, 일부 실시예에 따라, 마스크층(112)이 하드 마스크층(112) 위에 퇴적된다. 마스크층(112)은 하드 마스크층(110)의 후속 패터닝 동작들을 돕기 위해 사용된다. 일부 실시예에 따라, 마스크층(112)이 후속 패터닝된 프로세스를 위한 반사 방지층으로서 또한 사용된다. 일부 실시예에서, 마스크층(112)은 반사 방지층(108)의 물질과 동일한 물질로 제조된다. 일부 실시예에서, 마스크층(112)은 실리콘 탄소 산화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 산화질화물, 실리콘 질화물, 실리콘 산화탄화물, 크롬, 크롬 산화물, 크롬 질화물, 크롬 산화질화물, 티타늄, 다른 적절한 물질, 또는 이 물질들의 조합으로 제조된다. 일부 다른 실시예에서, 마스크층(112)은 실질적으로 무질소이다. 일부 실시예에서, 반사 방지층(108)은 CVD 프로세스, 스핀-온 프로세스, 물리적 증기 퇴적(physical vapor deposition; PVD) 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
도 1b, 1c, 및 4를 참조하면, 일부 실시예에 따라, 방법(400)은, 하드 마스크층(110)이 플라즈마 에칭 프로세스를 사용해서 하드 마스크층(110) 내에 개구를 형성하도록 에칭되는 단계(406)로 진행한다. 도 1b에 도시된 바와 같이, 일부 실시예에 따라, 패터닝된 포토레지스트층(111)은 마스크층(112)을 패터닝하기 위해 마스크층(112) 위에 형성된다. 위에서 언급된 바와 같이, 마스크층(112)은 반사 방지층으로서 또한 사용될 수 있다. 예를 들면, 마스크층(112)은 패터닝된 포토레지스트층(111)을 형성하기 위한 포토리소그래피 프로세스를 돕도록 사용된다. 패터닝된 포토레지스트층(111)은 마스크층(112)을 노출시키는 개구(114)를 갖는다. 그런 다음, 에칭 동작이 패터닝된 포토레지스트층(11)의 패턴을 마스크층(112)에 전사(transfer)하기 위해 수행된다. 개구(114)는 도 1 b에 도시된 바와 같이, 하드 마스크층(110)을 노출시키도록 마스크층(112)을 관통해 더 연장한다. 그런 다음, 일부 실시예에서 포토레지스트층(111)이 제거된다. 포토레지스트층(111)은 습식 스트리핑 또는 플라즈마 애슁에 의해 제거될 수 있다.
도 1c에 도시된 바와 같이, 일부 실시예에 따라, 하드 마스크층(110) 내에 개구(116)를 형성하도록 플라즈마 에칭 프로세스를 사용해서 하드 마스크층(110)이 부분적으로 제거된다. 일부 실시예에서, 플라즈마 에칭 프로세스 이전에 포토레지스트층(111)이 제거되므로, 플라즈마 에칭 프로세스가 포토레지스트층(111)에 의해 부정적으로 영향을 받는 것이 방지될 수 있다. 일부 실시예에서, 개구(116)는 도 1c에 도시된 바와 같이 반사 방지층(108) 안으로 더 연장된다. 일부 다른 실시예에서, 개구(116)는 반사 장지층(108)을 관통하고, 유전층(106)을 노출시킨다. 마스크층(112)은 하드 마스크층(110)의 에칭 동안에 에칭 마스크로서 기능할 수 있다. 많은 변형 및 수정이 본 개시의 실시예에 가하질 수 있다. 일부 실시예에서, 마스크층(112)이 형성되지 않는다. 이 경우에, 포토레지스트층(111)은 하드 마스크층(110)의 에칭 동안에 에칭 마스크로서 사용될 수 있다.
플라즈마 에칭 프로세스의 조건은 개구(116)가 요구되는 프로파일을 갖는 것을 보장하도록 주의깊게 제어된다. 도 1c에 도시된 바와 같이, 개구(116)는 측벽(115)과 하단 부분(113)을 갖는다. 각도 θ1은 측벽(115)과, 개구(116)의 하단 부분(116) 사이의 각도이다. 일부 실시예에서, 측벽(115)은 수직 측벽이다. 일부 실시예에서, 개구(116)의 이러한 프로파일을 갖는 하드 마스크층(110)은 유전층(106)의 후속 패터닝 동작을 용이하게 할 수 있다. 용어 "수직 측벽"은, 측벽(115)이 완벽하게 수직이 되어야한다는 것을 의미하지는 않는다. 일부 실시예에서, 측벽(115)은 실질적으로 수직 측벽에 가깝다. 이 경우에, 각도 θ1는 90도와 실질적으로 동일하다. 일부 실시예에서, 각도 θ1는 약 89도 내지 약 91도 범위이다. 일부 실시예에서, 각도 θ1는 약 89도 내지 약 90도 범위이다.
위에서 언급한 바와 같이, 플라즈마 에칭 프로세스는 하드 마스크층(110) 내에 개구(116)를 형성하도록 수행된다. 일부 실시예에서, 플라즈마 에칭 프로세스를 수행하기 위해, 도 1c에 도시된 구조물이 배치되는 프로세스 체임버 안으로 기체 혼합물이 유입된다. 일부 실시예에서, 프로세스 체임버는 약 5 mtorr의 압력 하에서 유지되고, 기체 혼합물은 플라즈마를 발생시키도록 여기(excite)된다. 플라즈마는 노출된 하드 마스크층(110)의 노출된 부분을 에칭하도록 지향된다. 결과적으로, 개구(116)가 형성된다. 일부 실시예에서, 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함한다. 할로겐 함유 기체는 하드 마스크층(110)을 에칭하기 위한 주요 에천트로서 기능하는 주요 에칭 플라즈마를 생성하도록 사용될 수 있다. 니트로겐-함유 기체와 탄소-함유 기체 모두는 플라즈마 에칭 프로세스 동안에 개구(116)의 프로파일을 제어하도록 사용될 수 있다. 질소 함유 기체와 탄소 함유 기체 때문에, 보호 또는 패시베이션 물질이 플라즈마 에칭 프로세스 동안에 개구의 측벽을 보호하기 위해 형성될 수 있다. 일부 경우에, 만약 질소 함유 기체와 탄소 함유 기체가 사용되지 않으면, 개구(116)는 경사진 측벽과 언더컷 프로파일(undercut profile)을 가질 수 있는데, 이는 후속 전도성 피처 형성 프로세스가 수행되는 것을 어렵게 할 수 있다.
일부 실시예에 따라, 하드 마스크층(110) 내의 개구(116)가 실질적으로 수직인 측벽(115)을 갖는 것을 보장하도록, 기체 혼합물의 조성이 주의깊게 제어된다. 일부 실시예에 따라, 기체 혼합물은 약 20% 내지 약 30% 범위 내에 있도록 제어되는 질소 함유 기체의 체적 농도(volumetric concentration)를 갖는다. 일부 실시예에 따라, 질소 함유 기체는 질소 기체이다. 일부 실시예에 따라, 할로겐 함유 기체는 염소 기체, 브롬 기체, 염화수소 기체, 다른 적절한 기체, 또는 이 기체들의 조합을 포함한다. 일부 실시예에서, 탄소 함유 기체는 메탄 기체, 다른 적절한 기체, 또는 이 기체들의 조합을 포함한다. 일부 실시예에서, 기체 혼합물은 질소 기체, 염소 기체, 및 메탄 기체를 포함한다. 일부 실시예에서, 기체 혼합물 내의 염소 기체의 체적 농도는 메탄 기체의 체적 농도보다 높다. 일부 실시예에서, 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이 되도록 제어된다.
기체 혼합물 내의 기체들의 체적 농도들은 기체들의 유속들을 제어함으로써 조정될 수 있다. 일부 실시예에서, 질소 기체의 유속은 약 30 sccm 내지 약 60 sccm 범위 내에 있다. 염소 기체의 유속은 약 85 sccm 내지 약 115 sccm 범위 내에 있다. 메탄 기체의 유속은 약 15 sccm 내지 약 45 sccm 범위 내에 있다. 일부 다른 실시예에서, 질소 기체의 유속은 약 45 sccm이고, 염소 기체의 유속은 약 100 sccm이며, 메탄 기체의 유속은 약 30 sccm이다.
연구는, 하드 마스크층(110) 내에 형성된 개구(116)의 프로파일이 질소 함유 기체의 체적 농도를 미세 조정함으로써 제어될 수 있음을 보인다. 하드 마스크층(110)이 개구(116)를 형성하도록 에칭되고 있는 동안에, 보호 물질 또는 패시베이션 물질(미도시)이 하드 마스크층(110)의 측벽들 위에서 형성될 수 있다. 보호 물질은 하드 마스크층(110)과 기체 혼합물(및/또는 기체 혼합물을 여기시킴으로써 생성되는 플라즈마) 사이의 반응에 기인해서 형성될 수 있다. 보호 물질은 Ti-Cl-N-C 함유 물질일 수 있다. 보호 물질의 퇴적 속도(rate)는 기체 혼합물 내의 질소 함유 기체의 양에 부분적으로 종속될 수 있다. 보호 물질은 플라즈마 에칭 프로세스 동안 측면(lateral) 에칭 속도를 늦출수 있다. 질소 함유 기체의 체적 농도를 조정함으로써, 측면 에칭 속도가 제어된다.
위에 언급된 바와 같이, 플라즈마 에칭 프로세스 동안에 형성된 보호 물질의 퇴적 속도는 기체 혼합물 내의 질소 함유 기체의 양을 조절함으로써 변화될 수 있다. 기체 혼합물 내의 질소 함유 기체의 체적 농도는 일부 실시예에서 약 20% 내지 30% 범위이 있도록 제어된다. 일부 실시예에서, 만약 기체 혼합물 내의 질소 함유 기체의 체적 농도가 약 20% 미만이면, 이렇게 형성된 보호 물질은 충분하지 않을 수 있다. 결과적으로, 에칭 프로세스 동안, 측면 에칭 속도는 여전히 너무 높을 수 있다. 그러므로, 경사진 측벽을 갖는 개구가 형성될 수 있다. 불충분한 보호 때문에, 후속 전도성 피처 형성 프로세스가 수행되는 것을 어렵게 할 수 있는 언더컷 프로파일을 개구가 또한 가질 수 있다. 이 경우에, 개구의 측벽과 개구의 하단 부분 사이의 각도는 89도보다 작을 수 있다. 예를 들면, 각도는 약 85도보다 작을 수 있다.
일부 다른 경우에서, 만약 질소 함유 기체의 체적 농도가 약 30% 보다 크면, 이렇게 형성된 보호 물질은 너무 많을 수 있다. 에칭 프로세스 동안에 측면 에칭 속도는 너무 많이 지연될 수 있다. 결과적으로, 경사진 측벽을 갖는 개구가 형성될 수 있다. 개구의 측벽과 개구의 하단 부분 사이의 각도는 91도보다 클 수 있다. 예를 들면, 각도는 약 95도보다 클 수 있다.
하지만, 기체 혼합물 내의 질소 함유 기체의 체적 농도는 약 20% 내지 약 30% 범위에 제한되지 않는다는 것을 인식해야 한다. 질소 함유 기체의 체적 농도는 일부 다른 경우에서는 다른 범위에 있을 수 있다. 예를 들면, 기체 혼합물 내의 질소 함유 기체의 체적 농도는 약 22% 내지 28% 범위내에 있다. 일부 실시예에서, 기체 혼합물 내의 질소 함유 기체의 체적 농도는 약 25%이다.
위에서 언급한 바와 같이, 일부 실시예에 따라, 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 또한, 약 0.3이 되도록 제어된다. 일부 경우에서,탄소 함유 기체, 또는 탄소 함유 기체로부터 생성된 플라즈마는 보호 물질을 형성할뿐만 아니라, 마스크층(112)과 반응한다. 그러므로, 일부 실시예에서, 마스크층(112)의 개구가 요구되는 크기를 보장하도록, 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 예를 들면, 약 0.3과 같이 실질적으로 일정하도록 유지된다. 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비가 약 0.3이 되도록 제어함으로써, 적절한 에칭 속도와, 개구의 적절한 에칭 프로파일이 달성될 수 있다.
일부 경우에서, 만약 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비가 약 0.3이 아니라면, 마스크층(112)의 개구의 형태와 프로파일이 플라즈마 에칭 프로세스 동안 변경되지 않을 수 있다. 하드 마스크층(110)내의 개구(116)의 프로파일이 이에 따라 또한 부정적으로 영향을 받는다.
도 1d와 4를 참조하면, 방법(400)은, 일부 실시예에 따라 피처 개구(118)를 형성하도록 하드 마스크층(110) 내에서 개구(116)를 통해 유전층(106)이 에칭되는 단계(408)에서 계속된다. 도 1d에서 도시된 바와 같이, 하드 마스크층(110)은 일부 실시예에 따라 피처 개구(118)의 형성을 돕기 위한 에칭 마스크로서 사용된다. 유전층(106)은 하드 마스크층(110) 내의 개구(116)를 통해 에칭된다. 일부 실시예에서, 피처 개구(118)는 도 1d에 도시된 바와 같이 트렌치 개구이다. 하지만, 본 발명 개시의 실시예들이 많은 변형을 가진다는 것이 인식되어야 한다. 일부 다른 실시예에서, 피처 개구(118)는 비아 홀이다. 일부 다른 실시예에서, 피처 개구(118)는 접촉 홀이다.
개구(116)의 측벽(115)이 실질적으로 수직이므로, 개구(116)를 둘러싸는 하드 마스크층(110)의 부분은 경사진 측벽을 갖는 개구를 갖는 하드 마스크층과 비교할 때 상대적으로 균일한 두께를 갖는다. 그러므로, 개구(116)을 둘러싸는 하드 마스크층(110)의 부분은 후속 에칭 동작들 동안에 기저 유전층(106)을 보호하도록 충분하고 균일한 두께를 갖는다.
도 1d에서 도시된 바와 같이, 피처 개구(118)는 측벽(119)과 하단 부분(117)을 갖는다. 각도 θ2는 개구의 측벽(119)와 하단 부분(117) 사이의 각도이다. 일부 실시예에서, 하드 마스크층(110) 아래의 유전층(106)이 피처 개구(118)를 형성하기 위한 에칭 프로세그 동안에 잘 보호되기 때문에, 측벽(119)은 도 1c에 도시된 측벽(115)과 실질적으로 공면이다. 측벽(119)은 또한 실질적으로 수직 측벽이다. 각도 θ2는 실질적으로 90도이다. 일부 실시예에서, 각도 θ2는 약 89도 내지 약 91도 범위이다. 일부 다른 실시예에서, 각도 θ2는 약 89도 내지 약 91도 범위이다. 개구(116)의 프로파일이 제어되기 때문에, 피처 개구(118)의 프로파일은 수직 측벽을 갖도록 또한 잘 제어된다. 위에서 언급된 방법을 사용해서 형성된 상이한 피처 개구들의 깊이 균일성을 포함하는 프로파일 균일성이 또한 향상된다. 연구는, 수직 측벽을 갖는 개구 아래에서 형성된 유전층(106) 내의 개구는 충분하고 균일한 깊이를 가질 수 있다는 것을 보인다.
도 1e에 도시된 바와 같이. 일부 실시예에 따라 유전층(106)은 전도성 피처(102)를 노출시키는 비아 홀(120)을 형성하도록 부분적으로 제거된다. 포토리소그래피 프로세스와 에칭 프로세스는, 에칭 정지층(104)의 일부분이 노출되도록 유전층(106)을 부분적으로 제거하기 위해 사용될 수 있다. 그런 다음, 에칭 정지층(104)의 노출된 부분을 제거하도록 다른 에칭 동작이 수행되어 비아 홀(120)을 형성하게 된다. 도 1e에 도시된 바와 같이, 비아 홀(120)이 전도성 피처(102)를 노출시킨다. 많은 변형 및 수정이 본 개시의 실시예에 가하질 수 있다. 일부 다른 실시예에서, 하드 마스크층(110)과 유사한 하드 마스크층이 비아 홀(120)의 형성을 돕도록 사용된다.
도 1f와 4를 참조하면, 방법(400)은, 일부 실시예에 따라 하나 이상의 전도성 물질들이 피처 개구(118) 내에서 형성되는 단계(410)에서 계속된다. 도 1f에 도시된 바와 같이, 일부 실시예에 따라, 장벽층(122)이 마스크층(110)과, 피처 개구부(118)의 하단 및 측벽, 그리고, 비아 홀(120) 위에 퇴적된다. 그런 다음, 일부 실시예에 따라 도 1f에 도시된 바와 같이, 하나 이상의 전도성 물질(들)(124)이 장벽층(122) 위에 퇴적된다. 장벽층(122)은 전도성 물질(124)로부터의 금속 물질의 확산으로부터 유전층(106)을 보호하기 위해 사용된다. 장벽층(122)은 또한 전도성 물질(124)과 유전층(106) 사이의 접착층으로서 기능할 수 있다.
일부 실시예에서, 장벽층(122)은 티타늄 질화물, 탄탈륨 질화물, 티타늄, 텅스턴 질화물, 다른 적절한 물질, 또는 이 물질들의 조합으로 제조된다. 일부 실시예에서, 장벽층(122)은 PVD 프로세스, CVD 프로세스, ALD 프로세스, 무전해 도금 프로세스, 다른 적용가능한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
일부 실시예에서, 전도성 물질(124)은 구리, 알루미늄, 텅스텐, 티타늄, 니켈, 금, 백금, 다른 적절한 전도성 물질, 또는 이 물질들의 조합으로 제조된다. 일부 실시예에서, 전도성 물질(124)은 전기화학 도금 프로세스, 무전해 도금 프로세스, PVD 프로세스, CVD 프로세스, 스핀-온 프로세스, 다른 적용가능한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다.
일부 실시예에서, 시드층(미도시됨)은 일부 실시예에 따라 전도성 물질(124)이 퇴적되기 전에, 장벽층(122) 위에 퇴적된다. 일부 실시예에서, 시드층은 장벽층(122) 위에 컨포멀하게(conformally) 형성된다. 시드층은 전도성 물질(124)의 형성을 돕기 위해 사용된다.
일부 실시예에서, 시드층은 구리 또는 구리 합금으로 제조된다. 일부 실시예에서, 시드층은 구리, 은, 금, 티타늄, 알루미늄, 텅스텐, 다른 적절한 물질, 또는 이 물질들의 조합을 포함한다. 일부 실시예에서, 시드층은 PVD 프로세스, CVD 프로세스, 다른 적용가능한 프로세스, 또는 이 프로세스들의 조합을 사용해서 퇴적된다. 본 발명 개시의 실시예들은 많은 변형을 갖는다. 일부 다른 실시예에서, 시드층은 형성되지 않는다. 일부 다른 실시예에서, 장벽층(122)은 형성되지 않는다.
도 1g에서 도시된 바와 같이, 일부 실시예에 따라 전도성 물질(124)과, 피처 개구(118)의 외부의 장벽층(122)의 부분들이 제거된다. 일부 실시예에서, 평탄화 프로세스가 전도성 물질(124) 상에 수행된다. 일부 실시예에서, 반사 방지층(108), 하드 마스크층(110), 및 마스크층(112)이 평탄화 프로세스 동안 또한 제거된다. 평탄화 프로세스는 화학 기계적 폴리싱(chemical mechanical polishing; CMP) 프로세스, 연마 프로세스, 에칭 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 포함할 수 있다. 결과적으로, 전도성 피처들(128 및 128)을 포함하는 이중 다마신 구조체가 형성된다. 일부 실시예에서, 전도성 피처(126)는 전도성 비아로서 기능하고, 전도성 피처(128)는 전도성 라인으로서 기능한다. 수직 측벽(115)을 갖는 하드 마스크층(110)의 도움으로, 피처 개구(118)의 프로파일이 잘 제어된다. 그러므로, 전도성 피처(128)의 전기적 품질이 향상된다.
많은 변형 및 수정이 본 개시의 실시예에 가하질 수 있다. 예를 들면, 트렌치 개구와 비아 홀의 형성 순서는 변화될 수 있다. 일부 실시예에 따라, 도 2a 내지 2e는 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지들의 단면도들이다. 일부 실시예서, 방법(400)은 도 2a 내지 2e에서 예증된 실시예들에서 또한 적용될 수 있다. 도 2a에 도시된 바와 가팅, 도 1c에 도시된 구조체와 유사한 구조체가 제공된다. 구조체의 물질들 및 형성 방법은 위에서 언급된 실시예에서 예증되었고, 여기서 반복해서 설명되지 않는다.
도 2a에 도시된 바와 같이, 일부 실시예에 따라, 패터닝된 마스크층(202)이 도 1c에 도시된 구조체와 유사한 구조체 위에 형성된다. 패터닝된 마스크층(202)은 반사 방지층(108)을 노출시키는 개구(204)를 갖는다. 일부 실시예에 따라, 패터닝된 마스크층(202)은 패터닝된 포토레지시트층이다. 일부 다른 실시예에서, 패터닝된 마스크층(202)은 하드 마스크층(100)과 유사한 하드 마스크층이다.
도 2b에 도시된 바와 같이, 일부 실시예에 따라 유전층(106)은 유전층(106) 내에 홀(206)을 형성하도록 개구(204)를 통해 에칭된다. 그런 다음, 마스크층(202)이 제거된다. 일부 실시예에서, 홀(206)의 하단은 도 2b에 도시된 바와 같이, 일정 거리만큼 에칭 정지층(104)으로부터 분리된다. 일부 다른 실시예에서, 홀(206)은 에칭 정지층(104)을 노출시킨다.
도 2c에 도시된 바와 같이, 일부 실시예에 따라 유전층(106)은 트렌치 개구(208)를 형성하도록 하드 마스크층(110)의 개구(116)를 통해 에칭된다. 일부 실시예에서, 홀(206)은 에칭 정지층(104)을 노출시키도록 아래쪽으로 더 확장된다. 그런 다음, 전도성 특징부(102)가 노출되도록, 에칭 정지층(104)의 노출된 부분을 제거하기 위해 에칭 동작이 사용된다. 도 2c에 도시된 바와 같이, 전도성 피처(120)를 노출시키는 비아 홀(210)이 형성된다.
도 2d에 도시된 바와 같이, 도 1f에 예증된 실시예와 유사하게, 일부 실시예에 따라, 장벽층(1220과 전도성 물질(124)이 형성된다. 장벽층(122)과 전도성 물질(124)은 트렌치 개구(208)와 비아 홀(210)을 채운다.
도 2e에 도시된 바와 같이, 일부 실시예에 따라 전도성 물질(124)과, 트렌치 개구(208)의 외부의 장벽층(122)의 부분들이 제거된다. 일부 실시예에 따라, 평탄화 프로세스가 전도성 물질(124) 상에 수행된다. 일부 실시예에 따라, 반사 방지층(108), 하드 마스크층(110), 및 마스크층(112)이 평탄화 프로세스 동안 또한 제거된다. 평탄화 프로세스는 화학 기계적 폴리싱(chemical mechanical polishing; CMP) 프로세스, 연마 프로세스, 에칭 프로세스, 다른 적절한 프로세스, 또는 이 프로세스들의 조합을 포함할 수 있다. 결과적으로, 전도성 피처들(226 및 228)을 포함하는 이중 다마신 구조체가 형성된다. 일부 실시예에서, 전도성 피처(226)는 전도성 비아로서 기능하고, 전도성 피처(228)는 전도성 라인으로서 기능한다.
많은 변형 및 수정이 본 개시의 실시예에 가하질 수 있다. 예를 들면, 반도체 장치 구조체는 이중 다마신 프로세스를 사용해서 형성되는 것으로 제한되지는 않는다. 일부 다른 실시예에서, 반도체 장치 구조체는 하나 이상의 단일 다마신 프로세스들을 사용해서 형성된다. 일부 실시예에 따라, 도 3a 내지 3f는 반도체 장치 구조체를 형성하기 위한 프로세스의 다양한 스테이지들의 단면도들이다. 일부 실시예에 따라, 방법(400)은 도 3a 내지 3f에서 예증된 실시예에서 또한 적용될 수 있다.
도 3a에 도시된 바와 같이, 도 1a에 도시된 구조체와 유사한 구조체가 제공된다. 반도체 기판(100), 전도성 특징부(102), 및 에칭 정지층(104)의 물질들 및/또는 형성 방법들이 설명되었고, 여기서 반복해서 설명되지 않는다. 도 3a에 도시된 바와 같이, 유전층(306)은 에칭 정지층(104) 위에 퇴적된다. 일부 실시예에서, 유전층(306)의 물질 및 형성 방법은 유전층(106)의 물질 및 형성 방법과 유사하다.
도 3a에 도시된 바와 같이. 반사 방지층(308), 하드 마스크층(310), 및 마스크층(312)이 일부 실시예에 따라 유전층(306) 위에 형성된다. 일부 실시예에서, 상기 층들의 물질들 및 형성 방법들은 반사 방지층(108), 하드 마스크층(110), 및 마스크층(112)의 물질들 및 형성 방법들과 유사하다.
도 1b 및 1c에 예증된 실시예들과 유사하게, 마스크층(312)이 하드 마스크층(310)의 패터닝을 돕도록 패터닝된다. 도 3a에 도시된 바와 같이, 개구(316)가 하드 마스크층(310) 내에 형성된다. 일부 실시예에서, 개구(316)는 반사 방지층(308) 안으로 연장된다. 일부 실시예에서, 개구(316)는 유전층(306)을 노출시킨다. 일부 실시예에서, 개구(316)는 개구(116)를 형성하기 위해 사용되는 방법과 유사한 방법을 사용해서 형성된다. 그러므로, 개구(316)는 또한 실질적으로 수직 측벽을 갖는다.
도 3b에 도시된 바와 같이, 일부 실시예에 따라 유전층(306)은 피처 개구(318)를 형성하도록 하드 마스크층(310) 내의 개구(316)를 통해 에칭된다. 일부 실시예에서, 피처 개구(318)가 전도성 특징부(102)를 노출시키도록, 다른 에칭 동작을 사용해서 에칭 정지층(104)이 에칭된다. 피처 개구(118)와 유사하게, 피처 개구(318)도 또한 실질적으로 수직인 측벽을 갖는다. 일부 실시예에서, 피처 개구(318)는 또한 접촉 홀로서 기능한다. 이 경우에, 전도성 피처(102)는 소스/드레인 영역 또는 게이트 전극일 수 있다. 일부 다른 실시예에서, 피처 개구(318)는 비아 홀로서 기능한다. 이 경우에, 전도성 피처(102)는 전도성 라인 또는 전도성 패드일 수 있다.
그런 다음, 장벽층(320)과 전도성 물질(324)이 피처 개구(318) 내에 형성된다. 일부 실시예에서, 장벽층(320) 및 전도성 물질(324)의 물질들 및 형성 방법들은 장벽층(122) 및 전도성 물질(124)의 물질들 및 형성 방법들과 유사하다. 유사하게, 장벽층(320)과, 피처 개구(318) 외부의 전도성 물질(324)과, 반사 방지층(308), 하드 마스크층(310)과, 유전층(306) 위의 마스크층(312)을 제거하도록 평탄화 프로세스가 수행된다. 결과적으로, 일부 실시예에 따라 도 3c에 도시된 바와 같이, 전도성 피처(326)가 형성된다. 이 경우에, 전도성 피처(326)는 단일 다마신 프로세스를 사용해서 형성된다. 일부 실시예에서, 전도성 피처(326)는 전도성 접촉부로서 기능한다. 일부 다른 실시예에서, 전도성 피처(326)는 전도성 비아로서 기능한다.
도 3d에 도시된 바와 같이, 일부 실시예에 따라 에칭 정지층(328)은 전도성 피처(326) 및 유전층(306) 위에 퇴적된다. 일부 실시예에서, 에칭 정지층(328)의 물질 및 형성 방법은 에칭 정지층(104)의 물질 및 형성 방법과 유사하다. 그런 다음, 도 3d에 도시된 바와 같이, 유전층(330)이 에칭 정지층(328) 위에 퇴적된다. 일부 실시예에서, 유전층(330)의 물질 및 형성 방법은 유전층(106)의 물질 및 형성 방법과 유사하다.
그런 다음, 일부 실시예에 따라 도 3d에 도시된 바와 같이, 반사 방지층(332), 하드 마스크층(334), 및 마스크층(336)이 유전층(330) 위에 퇴적된다. 일부 실시예에서, 상기 층들의 물질들 및 형성 방법들은 반사 방지층(108), 하드 마스크층(110), 및 마스크층(112)의 물질들 및 형성 방법들과 유사하다.
도 3e에 도시된 바와 같이, 일부 실시예에 따라 피처 개구(337)는 전도성 피처(326)를 노출시키도록 형성된다. 일부 실시예에서, 피처 개구(337)는 트렌치 개구로서 기능한다. 피처 개구의 형성 방법은 피처 개구(318)의 형성 방법과 유사하다. 하드 마스크층(334)을 패터닝하기 위한 에칭 조건은, 하드 마스크층(334)이 실질적으로 수직인 측벽을 갖는 것을 보장하도록 주의깊게 제어된다. 그런 다음, 유전층(330)이 하드 마스크층(334)을 에칭 마스크로서 사용해 에칭된다. 결과적으로, 피처 개구(337)는 또한 실질적으로 수직인 측벽을 갖는다.
그런 다음, 일부 실시예에 따라, 장벽층(338)과 전도성 물질(340)이 도 3f에 도시된 바와 같이 피처 개구(337) 내에 형성된다. 일부 실시예에서, 장벽층(338) 및 전도성 물질(340)의 물질들 및 형성 방법들은 장벽층(122) 및 전도성 물질(124)의 물질들 및 형성 방법들과 유사하다. 유사하게, 장벽층(338)과, 피처 개구(337) 외부의 전도성 물질(340)과, 반사 방지층(332), 하드 마스크층(334)과, 유전층(330) 위의 마스크층(336)을 제거하도록 평탄화 프로세스가 수행된다. 결과적으로, 일부 실시예에 따라 도 3f에 도시된 바와 같이, 전도성 피처(342)가 형성된다. 이 경우에, 전도성 피처(342)는 단일 다마신 프로세스를 사용해서 형성된다. 일부 실시예에서, 전도성 피처(342)는 전도성 라인으로서 기능한다.
본 발명 개시의 실시예는 유전층 내의 피처 개구의 형성을 돕도록 하드 마스크층을 에칭 마스크로서 사용한다. 기체 혼합물은 하드 마스크층 내에 개구를 형성하기 위해 플라즈마 에칭 프로세스에서 사용된다. 기체 혼합물의 조성은, 하드 마스크층 내에 형성된 개구가 수직 측벽을 갖는 것을 보장하도록 주의깊게 유지된다. 그런 다음, 유전층이 수직 측벽을 갖는 개구를 통해 에칭되어 유전층 내에 피처 개구를 형성하게 된다. 수직 측벽을 갖는 하드 마스크층의 도움으로, 이에 따라 피처 개구도 또한 수직 측벽을 갖는다. 그런 다음, 피처 개구는 하나 이상의 전도성 물질들로 채워져서 전도성 피처를 형성하게 된다. 피처 개구의 잘 제어된 프로파일 때문에, 전도성 피처의 품질 및 신뢰도가 향상된다.
일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 유전층을 형성하는 단계와, 유전층 위에 하드 마스크층을 형성하는 단계를 포함한다. 방법은 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행해서 개구를 형성하는 단계를 또한 포함하고, 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함한다. 기체 혼합물은 약 20% 내지 약 30% 범위 내에 있는 질소 함유 기체의 체적 농도를 갖는다. 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이다. 방법은 하드 마스크층 내의 개구를 통해 유전층을 에칭하여 유전층 내에 피처 개구를 형성하는 단계를 더 포함한다. 또한, 방법은 피처 개구 내에 전도성 물질을 형성하는 단계를 포함한다.
일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 유전층을 형성하는 단계와, 유전층 위에 하드 마스크층을 형성하는 단계를 포함한다. 방법은 하드 마스크층 위에 마스크층을 형성하는 단계와, 포토레지스트층을 사용함으로써 마스크층을 패터닝하는 단계를 또한 포함한다. 방법은 포토레지스트층을 제거하는 단계와, 포토레지스트층이 제거된 후에, 하드마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행하여 개구를 형성하는 단계를 더 포함한다. 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함한다. 기체 혼합물은 약 20% 내지 약 30% 범위의 질소 함유 기체의 체적 농도를 가지며, 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이다. 하드 마스크층이 개구를 형성하도록 에칭되는 동안에, 패터닝된 마스크층은 에칭 마스크로서 사용된다. 또한, 방법은 하드 마스크층 내의 개구를 통해 유전층을 에칭하여 유전층 내에 피처 개구를 형성하는 단계와, 피처 개구 내에 전도성 물질을 형성하는 단계를 포함한다.
일부 실시예에 따라, 반도체 장치 구조체를 형성하기 위한 방법이 제공된다. 방법은 반도체 기판 위에 유전층을 형성하는 단계와, 유전층 위에 하드 마스크층을 형성하는 단계를 포함한다. 방법은 또한 하드 마스크층 위에 마스크층을 형성하는 단계와, 포토레지스트층을 사용함으로써 마스크층을 파터닝하는 단계를 포함한다. 방법은 포토레지스트층을 제거하는 단계와, 포토레지스트층이 제거된 후에, 하드마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행하여 수직 측벽을 갖는 개구를 형성하는 단계를 더 포함한다. 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함하고, 기체 혼합물은 약 20% 내지 약 30% 범위의 질소 함유 기체의 체적 농도를 갖는다. 기체 혼합물 내에서 할로겐 함유 기체에 대한 탄소 함유 기체의 체적 농도비는 약 0.3이다. 하드 마스크층이 개구를 형성하도록 에칭되는 동안에, 패터닝된 마스크층은 에칭 마스크로서 사용된다. 또한, 방법은 하드 마스크층 내의 개구를 통해 유전층을 에칭하여 유전층 내에 피처 개구를 형성하는 단계와, 피처 개구 내에 전도성 물질을 형성하는 단계를 포함한다.
전술된 내용은 다수의 실시예들의 특징을 요약하여, 당업자가 본 발명 개시의 양상을 더 잘 이해할 수 있게 한다. 당업자는 본 명세서에서 도입된 실시예들의 동일 목적을 수행하고/하거나 동일 이점을 달성하기 위해 다른 프로세스와 구조체를 설계 또는 변경하기 위한 기초로서 본 발명 개시를 쉽게 사용할 수 있다는 것을 인식할 것이다. 당업자는, 이러한 등가의 구성이 본 발명 개시의 정신과 범위를 이탈하지 않고, 자신이 본 발명 개시의 정신과 범위를 이탈하지 않고 본 명세서의 다양한 변화, 대체, 및 교체를 할 수 있다는 것을 또한 인식해야 한다.

Claims (10)

  1. 반도체 장치 구조체를 형성하기 위한 방법에 있어서,
    반도체 기판 위에 유전층을 형성하는 단계;
    상기 유전층 위에 하드 마스크층을 형성하는 단계;
    상기 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행하여 개구를 형성하는 단계;
    상기 하드 마스크층 내의 상기 개구를 통해 상기 유전층을 에칭하여 상기 유전층 내에 피처 개구(feature opening)를 형성하는 단계; 및
    상기 피처 개구 내에 전도성 물질을 형성하는 단계를
    포함하고,
    상기 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함하고, 상기 기체 혼합물은 20% 내지 30% 범위의 상기 질소 함유 기체의 체적 농도를 가지며, 상기 기체 혼합물 내의 상기 할로겐 함유 기체에 대한 상기 탄소 함유 기체의 체적 농도비는 0.3인 것인, 반도체 장치 구조체 형성 방법.
  2. 제1항에 있어서, 상기 질소 함유 기체는 질소 기체이고, 상기 할로겐 함유 기체는 염소 기체를 포함하며, 상기 탄소 함유 기체는 메탄 기체를 포함하는 것인, 반도체 장치 구조체 형성 방법.
  3. 제1항에 있어서, 상기 하드 마스크층은 금속 물질을 포함하는 것인, 반도체 장치 구조체 형성 방법.
  4. 제1항에 있어서, 상기 하드 마스크층은 티타늄 질화물로 제조되는 것인, 반도체 장치 구조체 형성 방법.
  5. 제1항에 있어서, 상기 전도성 물질이 형성되기 전에, 상기 피처 개구의 하단 부분으로부터 연장되는 비아 홀을 형성하도록 상기 유전층을 부분적으로 제거하는 단계를 더 포함하는, 반도체 장치 구조체 형성 방법.
  6. 제1항에 있어서, 상기 피처 개구가 형성되기 전에, 그리고 상기 개구가 상기 하드 마스크층 내에 형성된 후에 상기 유전층 내에 비아 홀을 형성하는 단계를 더 포함하는, 반도체 장치 구조체 형성 방법.
  7. 제1항에 있어서, 상기 전도성 물질이 형성된 후에 상기 하드 마스크층을 제거하는 단계를 더 포함하는, 반도체 장치 구조체 형성 방법.
  8. 제1항에 있어서, 상기 개구 또는 상기 피처 개구 중 적어도 하나의 측벽과 하단 부분 사이의 각도는 89도 내지 91도 범위 내에 있는 것인, 반도체 장치 구조체 형성 방법.
  9. 반도체 장치 구조체를 형성하기 위한 방법에 있어서,
    반도체 기판 위에 유전층을 형성하는 단계;
    상기 유전층 위에 하드 마스크층을 형성하는 단계;
    상기 하드 마스크층 위에 마스크층을 형성하는 단계;
    포토레지스트층을 사용함으로써 상기 마스크층을 패터닝하는 단계;
    상기 포토레지스트층을 제거하는 단계;
    상기 포토레지스트층이 제거된 후에, 상기 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행하여 개구를 형성하는 단계;
    상기 하드 마스크층 내의 상기 개구를 통해 상기 유전층을 에칭하여 상기 유전층 내에 피처 개구를 형성하는 단계; 및
    상기 피처 개구 내에 전도성 물질을 형성하는 단계를
    포함하고,
    상기 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함하고, 상기 기체 혼합물은 20% 내지 30% 범위의 상기 질소 함유 기체의 체적 농도를 가지고, 상기 기체 혼합물 내의 상기 할로겐 함유 기체에 대한 상기 탄소 함유 기체의 체적 농도비는 0.3이며, 상기 하드 마스크층이 상기 개구를 형성하도록 에칭되는 동안 상기 패터닝된 마스크층이 에칭 마스크로서 사용되는 것인, 반도체 장치 구조체 형성 방법.
  10. 반도체 장치 구조체를 형성하기 위한 방법에 있어서,
    반도체 기판 위에 유전층을 형성하는 단계;
    상기 유전층 위에 하드 마스크층을 형성하는 단계;
    상기 하드 마스크층 위에 마스크층을 형성하는 단계;
    포토레지스트층을 사용함으로써 상기 마스크층을 패터닝하는 단계;
    상기 포토레지스트층을 제거하는 단계;
    상기 포토레지스트층이 제거된 후에, 상기 하드 마스크층을 에칭하도록 플라즈마 에칭 프로세스를 수행하여 수직 측벽을 갖는 개구를 형성하는 단계;
    상기 하드 마스크층 내의 상기 개구를 통해 상기 유전층을 에칭하여 상기 유전층 내에 피처 개구(feature opening)를 형성하는 단계; 및
    상기 피처 개구 내에 전도성 물질을 형성하는 단계를
    포함하고,
    상기 플라즈마 에칭 프로세스에서 사용되는 기체 혼합물은 질소 함유 기체, 할로겐 함유 기체, 및 탄소 함유 기체를 포함하고, 상기 기체 혼합물은 20% 내지 30% 범위의 상기 질소 함유 기체의 체적 농도를 가지고, 상기 기체 혼합물 내의 상기 할로겐 함유 기체에 대한 상기 탄소 함유 기체의 체적 농도비는 0.3이며, 상기 하드 마스크층이 상기 개구를 형성하도록 에칭되는 동안 상기 패터닝된 마스크층이 에칭 마스크로서 사용되는 것인, 반도체 장치 구조체 형성 방법.
KR1020150025795A 2014-12-26 2015-02-24 피처 개구를 갖는 반도체 장치 구조체를 형성하기 위한 방법 KR101752539B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/583,238 2014-12-26
US14/583,238 US9425094B2 (en) 2014-12-26 2014-12-26 Mechanisms for forming semiconductor device structure with feature opening

Publications (2)

Publication Number Publication Date
KR20160079599A KR20160079599A (ko) 2016-07-06
KR101752539B1 true KR101752539B1 (ko) 2017-06-29

Family

ID=56165066

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150025795A KR101752539B1 (ko) 2014-12-26 2015-02-24 피처 개구를 갖는 반도체 장치 구조체를 형성하기 위한 방법

Country Status (4)

Country Link
US (2) US9425094B2 (ko)
KR (1) KR101752539B1 (ko)
CN (1) CN105742233B (ko)
TW (1) TWI588935B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102614850B1 (ko) * 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10181420B2 (en) * 2017-02-06 2019-01-15 Globalfoundries Inc. Devices with chamfer-less vias multi-patterning and methods for forming chamfer-less vias
US11101429B2 (en) * 2018-09-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Metal etching stop layer in magnetic tunnel junction memory cells
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US10811270B2 (en) * 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040127016A1 (en) * 2002-12-30 2004-07-01 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410426B1 (en) * 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US20060134921A1 (en) * 2003-05-01 2006-06-22 Chih-Ning Wu Plasma etching process
US20050079703A1 (en) * 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure
US20070093069A1 (en) * 2005-10-21 2007-04-26 Chien-Hua Tsai Purge process after dry etching
US7973409B2 (en) * 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US8617986B2 (en) * 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
US20120064713A1 (en) * 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
CN103426749B (zh) * 2012-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 开口的形成方法和堆叠结构
CN103531531B (zh) * 2012-07-05 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种用于制造半导体器件的方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040127016A1 (en) * 2002-12-30 2004-07-01 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes

Also Published As

Publication number Publication date
TW201624613A (zh) 2016-07-01
CN105742233B (zh) 2019-04-26
US20160358819A1 (en) 2016-12-08
CN105742233A (zh) 2016-07-06
US10002790B2 (en) 2018-06-19
TWI588935B (zh) 2017-06-21
KR20160079599A (ko) 2016-07-06
US20160190006A1 (en) 2016-06-30
US9425094B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
US10847418B2 (en) Formation method of damascene structure
US9761488B2 (en) Method for cleaning via of interconnect structure of semiconductor device structure
US9972529B2 (en) Method of forming metal interconnection
US10867910B2 (en) Semiconductor device with damascene structure
US9224643B2 (en) Structure and method for tunable interconnect scheme
US10002790B2 (en) Mechanisms for forming semiconductor device structure with feature opening
US9824918B2 (en) Method for electromigration and adhesion using two selective deposition
US11404367B2 (en) Method for forming semiconductor device with self-aligned conductive features
US10090167B2 (en) Semiconductor device and method of forming same
US20160276272A1 (en) Semiconductor device structure and method for forming the same
US10103102B2 (en) Structure and formation method of semiconductor device structure
US10964636B2 (en) Interconnect structure with low resistivity and method for forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant