CN105580126A - 基板处理装置 - Google Patents

基板处理装置 Download PDF

Info

Publication number
CN105580126A
CN105580126A CN201480052654.7A CN201480052654A CN105580126A CN 105580126 A CN105580126 A CN 105580126A CN 201480052654 A CN201480052654 A CN 201480052654A CN 105580126 A CN105580126 A CN 105580126A
Authority
CN
China
Prior art keywords
mentioned
substrate
chamber body
aforesaid substrate
board treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480052654.7A
Other languages
English (en)
Other versions
CN105580126B (zh
Inventor
玄俊镇
宋炳奎
金劲勋
金龙基
申良湜
金仓乭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eugene Technology Co Ltd
Original Assignee
Eugene Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eugene Technology Co Ltd filed Critical Eugene Technology Co Ltd
Publication of CN105580126A publication Critical patent/CN105580126A/zh
Application granted granted Critical
Publication of CN105580126B publication Critical patent/CN105580126B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B1/00Shaft or like vertical or substantially vertical furnaces
    • F27B1/10Details, accessories, or equipment peculiar to furnaces of these types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B1/00Shaft or like vertical or substantially vertical furnaces
    • F27B1/02Shaft or like vertical or substantially vertical furnaces with two or more shafts or chambers, e.g. multi-storey
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B1/00Shaft or like vertical or substantially vertical furnaces
    • F27B1/10Details, accessories, or equipment peculiar to furnaces of these types
    • F27B1/20Arrangements of devices for charging
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B1/00Shaft or like vertical or substantially vertical furnaces
    • F27B1/10Details, accessories, or equipment peculiar to furnaces of these types
    • F27B1/21Arrangements of devices for discharging
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B3/00Hearth-type furnaces, e.g. of reverberatory type; Tank furnaces
    • F27B3/02Hearth-type furnaces, e.g. of reverberatory type; Tank furnaces of single-chamber fixed-hearth type
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/04Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated adapted for treating the charge in vacuum or special atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/14Arrangements of heating devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/16Arrangements of air or gas supply devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • F27B5/06Details, accessories, or equipment peculiar to furnaces of these types
    • F27B5/18Arrangement of controlling, monitoring, alarm or like devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0033Chamber type furnaces the floor of the furnaces consisting of the support carrying the charge, e.g. car type furnaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

根据本发明的一实施例,基板处理装置包括:腔本体,该腔本体的上部和下部开放,并通过形成于一侧的通道运送基板;内部反应管,该内部反应管具有下部开放的形状,并设置在上述腔本体的上部而提供对上述基板实施工序的工序空间;基板支架,配置在上述腔的开放的下部,可以将通过上述通道运送的上述基板转换到沿着上下方向装载的装载位置、及朝向上述工序空间上升而对被装载的上述基板实施工序的工序位置;遮断板,连接在上述基板支架的下部而与上述基板支架一起升降,在上述工序位置封闭上述内部反应管的开放的下部;连接气缸,竖立设置在上述遮断板的下部而与上述遮断板一起升降;及遮断部件,被连接在上述腔本体的开放的下部表面和上述连接气缸之间,将开放的上述腔本体的下部与外部隔离。

Description

基板处理装置
技术领域
本发明涉及基板处理装置,更详细地涉及批量式基板处理装置,在对基板实施工序时,利用可伸缩的遮断部件控制装载空间的体积来最小化对基板的污染,从而可以提升质量及生产率。
背景技术
用于半导体、平板显示器及太阳能电池的制造的基板处理装置是包括用于对蒸镀在如硅晶片或玻璃那样的基板上的预定的薄膜实施结晶化、相变等工序所必须的热处理步骤的装置。
作为代表性的,在制造液晶显示器或薄膜型结晶硅太阳能电池的情况下,具有将蒸镀在玻璃基板上的非晶硅结晶化为聚合硅的硅结晶装置。为了实施这种结晶工序,必须能够对形成有预定的薄膜的基板进行加热,例如,用于非晶硅的结晶化的工序温度最小需要550度至600度的温度。
这种基板处理装置,包括可以对一个基板执行对基板的工序的单片式(singlewafertype)和可对多个基板执行基板处理的批量式(batchtype)。单片式具有装置结构简单的优点,但是由于生产率下降的缺点,作为最近的大量生产用途,批量式受到关注。
发明内容
技术课题
本发明的目的在于,在遮断工序空间和装载空间的状态下对基板实施工序。
本发明的其它目的在于,在基板支架的工序位置最小化装载空间的体积。
本发明的其它目的将从下面的详细说明和附图会更加清楚。
课题解决方案
根据本发明的一实施例,基板处理装置包括:腔本体,该腔本体的上部和下部开放,并通过形成于一侧的通道运送基板;内部反应管,该内部反应管具有下部开放的形状,并设置在上述腔本体的上部而提供对上述基板实施工序的工序空间;基板支架,配置在上述腔的开放的下部,可以将通过上述通道运送的上述基板转换到沿着上下方向装载的装载位置、及朝向上述工序空间上升而对被装载的上述基板实施工序的工序位置;遮断板,连接在上述基板支架的下部而与上述基板支架一起升降,在上述工序位置封闭上述内部反应管的开放的下部;连接气缸,竖立设置在上述遮断板的下部而与上述遮断板一起升降;及遮断部件,被连接在上述腔本体的开放的下部表面和上述连接气缸之间,将开放的上述腔本体的下部与外部隔离。
上述遮断部件在上述基板支架的装载位置提供与上述工序空间连通的装载空间;在上述基板支架的工序位置可以减少上述装载空间。
上述遮断部件可以随着上述遮断板的升降而伸缩。
上述连接气缸的下部具有朝向外侧突出的突出部,上述遮断部件可以连接在上述腔本体的被开放的下部表面和上述突出部之间。
上述连接气缸可以在上述基板支架的装载位置位于上述腔本体的下部,在上述基板支架的工序位置位于上述腔本体的内部。
上述基板处理装置还包括设置于上述腔本体的上部的歧管;上述内部反应管可以被上述歧管支承。
上述遮断板可以在上述工序位置与上述歧管抵接配置而形成上述工序空间。
上述歧管形成有:第1供给口,用于向上述工序空间供给工序气体;排气口,形成在上述工序气体供给口的相反侧而排放被供给到上述工序空间的上述工序气体;及第2供给口,形成在上述第1供给口的下部而向上述腔本体的内部供给驰放气,其中,上述第2供给口可以在上述基板支架的工序位置与上述遮断板相互并排配置。
上述基板处理装置还可以包括插入到沿着上述遮断板的上表面形成的设置槽的密封部件。
上述基板处理装置还可以包括形成于上述遮断板的内部并流过从外部供给的冷媒的冷却流路。
上述基板处理装置还可以包括插入到沿着上述遮断板的上表面形成的设置槽的密封部件,并且上述冷却流路可以沿着上述密封部件邻接配置。
上述基板处理装置还可以具备:升降轴,竖立设置在上述遮断部件的外侧;升降电机,连接在上述升降轴而绕着上述升降轴旋转;支承环,连接在上述连接气缸的下部;及托架,分别连接在上述支承环和上述升降轴,随着上述升降轴的旋转与上述支承环一起升降。
发明效果
根据本发明的一实施例,批量式基板处理装置中,可以在容易遮断装载空间和工序空间的状态下对基板实施工序。另外,可以在最小化装载空间的体积的状态下对基板实施工序,从而最小化对基板的污染并提升质量及生产率。
附图说明
图1是简要地表示根据本发明的一实施例的基板处理装置的图。
图2及图3是表示图1所示的基板处理装置的工作过程的图。
图4是放大图3的A的图。
图5是表示图3所示的基板处理装置的驰放气流动状态的图。
具体实施方式
下面,参照图1至图5更详细地说明本发明的优选实施例。本发明的实施例可以变形成各种方式,本发明的范围不可解释为由下面说明的实施例限定。本实施例是为了对本发明所属技术领域的普通技术人员更详细地说明本发明而提供的。因此,为了强调更清楚的说明,附图中出现的各要素的形状可能被夸张。
另外,对于本领域的普通技术人员而言当然可以应用于除实施例中说明的基板W以外的多样的被处理体。例如,本发明中可处理的基板的种类不特别受限制。因此,整个半导体工序中普遍使用的玻璃、塑料、聚合物、硅晶片、不锈钢、蓝宝石等多种材质的基板可以在本发明的基板处理装置中处理。另外,在本发明中处理基板可以理解为不仅是处理基板本身,还包括对形成于基板上的预定的膜或图案等进行处理的情况。
不仅如此,本发明的基板处理装置的用途也不特别受限制。因此,利用本发明的基板处理装置可以进行整个半导体工序,例如蒸镀工序、蚀刻工序、表面改质工序等。而且,以下仅对发明的主要构成要素进行说明,根据所使用的目的,不同的多种构成要素可以追加包括在本发明的基板处理装置中是显而易见的。
图1是简要地表示根据本发明的一实施例的基板处理装置的图。如图1所示,基板处理装置100包括:上部及下部开放的形状的腔本体30;对开放的腔本体的30的上部进行封闭的腔盖5;以及可以从外部隔离所开放的腔本体30的下部的遮断部件70。通过形成于腔本体30的一侧的通道32,基板W被运送到腔本体30的内部,闸式阀(未图示)设置在通道32的外侧,因此通道32可以由闸式阀开放或封闭。另外,排气口34形成在通道的相反侧,可以通过排气口34向外部排放后述的驰放气。
歧管40设置在腔本体30的上部,内部反应管10可以被歧管30支承。内部反应管10封闭腔本体30的开放的上部而提供对基板W实施工序的工序空间(图3的2),可以具有下部开放的形状。在歧管40的内面可以分别形成第1供给口13、第2供给口14及排气口19,第1供给口13形成在第2供给口14的上部。喷嘴15与第1供给口13连接,从外部供给的工序气体通过第1供给口13供给到喷嘴15并通过喷嘴15向基板供给工序气体。
喷嘴15可以沿着内部反应管10的内壁插入设置,沿着圆周方向可以配置在相互不同的高度。从喷嘴15供给的工序气体朝向形成于相反侧的排气孔17流动,由此可以确保工序气体和基板W的表面反应的充足的时间。这时,工序中产生的未反应气体及反应副产物通过排气孔17被吸入到排气口19而向外部排放,驰放气通过第2供给口14供给到基板处理装置100的内部并通过排气口34排放。另外,基板处理装置100可以设置有辅助管18,用于使未反应气体及反应副产物通过排气孔17容易向排气口19流动。
另外,基板处理装置100的内部反应管10的外侧可以设有外部反应管20,外部反应管20配置在喷嘴15及排气孔17的外侧。在外部反应管20的外侧可以设有腔盖5,腔盖5可以具备加热基板W的加热器7。内部反应管10及外部反应管20可以是在陶瓷或石英、或者金属上镀敷陶瓷的材质。
基板处理装置100还包括装载多个基板的基板支架50,通过通道32运送的基板W沿上下方向依次装载到基板支架50上。基板支架50可以沿上下方向形成有多个支撑梢53(或槽),以便容易装载基板W,在支撑梢53和支撑梢53之间可以具备导向板55。导向板55的截面积大于基板W,可以向通过导向板55沿上下方向装载的基板W和基板W之间进行均匀的气体供给。
基板支架50配置在腔本体30的下部以既定的间隔上升,从而可以将通过通道32运送的基板W沿上下方向装载(“装载位置”),完成装载的基板支架50上升而转换到工序位置,以便可以实施对基板W的工序。基板支架50被转换到工序位置的情况下,为了最小化工序空间(图3的2)内部的热损失,在基板支架50的下部可以具备多个隔热板58。
在基板支架50的下部设有遮断板60,遮断板60以与基板支架50的同心为基准,具有大于基板支架50的外径的形状。基板支架50被转换到工序位置的情况下,遮断板60与歧管24抵接而封闭内部反应管10的开放的下部来提供工序空间(图3的2)。设置槽63可以沿着遮断板60的上表面形成,密封部件65被插入设置在设置槽63。密封部件65最小化遮断板60和歧管40之间的缝隙,可以将工序空间(图3的2)与装载空间(图3的3)严密切断。密封部件65可以是硅胶材质的O形环(O-ring)。
另外,在遮断板60的内表面形成冷却流路68,沿着冷却流路68从外部供给的冷媒可以沿着冷却流路68流动。优选地,冷却流路68可以与设置槽63以既定的间隔分隔形成,可以具有与设置槽63对应的形状。因此,在基板支架50的工序位置对基板W实施工序时,工序空间(图3的2)可以将由随着向高温气氛的进行造成的密封部件65的破损防范于未然。
在遮断板60的下部中央部可以设置电机壳85。旋转轴83的一侧与遮断板60的下部连接,绕着旋转轴83旋转的旋转电机(未图示)可以固定设置在电机壳85的内部。旋转电机在基板支架50被转换到工序位置而实施对基板W的工序时,可以驱动旋转轴83来使基板支架50旋转。
另外,在遮断板60的下部侧面设置连接气缸80。连接气缸80朝向遮断板60的下部竖立设置,连接气缸80的下部可以具有朝向外侧突出的突出部81。遮断部件70连接在开放的腔本体30的下部和连接气缸80的突出部81之间,将开放的腔本体30的下部与外部隔离而提供装载空间(图3的3)。装载空间(图3的3)在基板支架50的装载位置与工序空间(图3的2)连通,当基板支架50被转换到工序位置时,装载空间(图3的3)与工序空间(图3的2)遮断。
遮断部件70是可伸缩的材质,随着与连接气缸80一起上升,装载空间(图3的3)的体积可以弹性变化。遮断部件70可以是波纹管(bellows),可以通过凸缘(flange)72连接在腔本体30和连接气缸80之间。支承环95连接在连接气缸80的突出部81下部而支承连接气缸80。托架97的一侧与支承环95连接,托架97的另一侧与竖立设置在遮断部件70的外侧的升降轴90连接。升降电机98连接在升降轴90,可以驱动升降轴90,托架可以通过升降轴90的旋转与支承环95一起升降。
图2及图3是表示图1所示的基板处理装置的工作过程的图,图4是放大图3的A的图。图2是表示图1所示的基板支架的装载位置的图,图3是表示转换到图1所示的基板支架的工序位置的状态的图。如图2所示,通过通道32运送的基板W装载到基板支架50。基板支架50如上所述可升降,通过通道32运送的基板W从基板支架50的上部朝下部方向依次放置在支撑梢53上。
在基板支架50上装载完基板53时,如图3所示,基板支架50被转换到工序位置。基板支架50被转换到工序位置的情况下,连接在基板支架50的下部的遮断板60与歧管40抵接,可以封闭内部反应管10的开放的下部。并且,与遮断板60的下部连接的连接气缸80随着与基板支架50一起升降而升降,连接在腔本体30的下部和连接气缸80之间的遮断部件70可以伸缩,因此可以通过连接气缸80的上升来减少装载空间30的体积。
如图4所示,遮断板60形成有设置槽63,密封部件65被设置在设置槽63,从而密封歧管40和遮断板60之间,从而可以将工序空间2与外部紧密维持。冷却流路68形成为与遮断板60的设置槽63对应,可以防止密封部件65破损及遮断板60的热损伤。另外,密封部件65可以分别设置在歧管40与内部反应管10之间、凸缘72与腔本体30之间、腔本体30与歧管40之间,冷却流路68也可以形成在对应的位置。
图5是表示图3所示的基板处理装置的驰放气流动状态的图。如图5所示,在基板支架50的工序位置,第2供给口14与遮断板60并排形成。在腔本体30的内部沿着第2供给口14可以设有喷嘴环38,驰放气可以沿着第2供给口14通过形成于喷嘴环38上的供给孔39而供给到装载空间3。在腔本体30的通道相反侧形成排气口34,被供给到装载空间3的驰放气可以通过排气口34排放。
即,基板支架50被转换到工序位置时,遮断部件70可以上下伸缩,因此装载空间3被最小化。在基板支架50的工序位置,遮断板60划分工序空间2和装载空间3,可通过密封部件65最小化工序空间2和装载空间3之间的空白。因此,能够容易控制装载空间3的驰放气,通过最小化装载空间3,在完成对基板W的工序后降低基板支架50的情况下,减少由基板W的污染及微粒引起的不合格,可以提高对基板W的成品率且增大生产率。
通过优选实施例详细地说明了本发明,但是也可以是与此不的方式的实施例。因此,下面记载的权利要求的技术思想和范围不限于优选的实施例。
工业实用性
本发明可以应用于多种方式的半导体制造设备及制造方法。

Claims (12)

1.一种基板处理装置,其特征在于,包括:
腔本体,该腔本体的上部和下部开放,并通过形成于一侧的通道运送基板;
内部反应管,该内部反应管具有下部开放的形状,并设置在上述腔本体的上部而提供对上述基板实施工序的工序空间;
基板支架,配置在上述腔的开放的下部,可以将通过上述通道运送的上述基板转换到沿着上下方向装载的装载位置、及朝向上述工序空间上升而对被装载的上述基板实施工序的工序位置;
遮断板,连接在上述基板支架的下部而与上述基板支架一起升降,在上述工序位置封闭上述内部反应管的开放的下部;
连接气缸,竖立设置在上述遮断板的下部而与上述遮断板一起升降;及遮断部件,被连接在上述腔本体的开放的下部表面和上述连接气缸之间,将开放的上述腔本体的下部与外部隔离。
2.如权利要求1所述的基板处理装置,其特征在于,
上述遮断部件在上述基板支架的装载位置提供与上述工序空间连通的装载空间;
在上述基板支架的工序位置可以减少上述装载空间。
3.如权利要求1或2所述的基板处理装置,其特征在于,
上述遮断部件能够随着上述遮断板的升降而伸缩。
4.如权利要求1所述的基板处理装置,其特征在于,
上述连接气缸的下部具有朝向外侧突出的突出部,
上述遮断部件连接在上述腔本体的被开放的下部表面和上述突出部之间。
5.如权利要求1所述的基板处理装置,其特征在于,
上述连接气缸在上述基板支架的装载位置位于上述腔本体的下部,在上述基板支架的工序位置位于上述腔本体的内部。
6.如权利要求1所述的基板处理装置,其特征在于,
上述基板处理装置还包括设置于上述腔本体的上部的歧管;上述内部反应管被上述歧管支承。
7.如权利要求6所述的基板处理装置,其特征在于,
上述遮断板在上述工序位置与上述歧管抵接配置而形成上述工序空间。
8.如权利要求6所述的基板处理装置,其特征在于,
上述歧管形成有:第1供给口,向上述工序空间供给工序气体;排气口,形成在上述工序气体供给口的相反侧而排放被供给到上述工序空间的上述工序气体;及第2供给口,形成在上述第1供给口的下部而向上述腔本体的内部供给驰放气,
其中,上述第2供给口在上述基板支架的工序位置与上述遮断板相互并排配置。
9.如权利要求1所述的基板处理装置,其特征在于,
上述基板处理装置还包括插入到沿着上述遮断板的上表面形成的设置槽中的密封部件。
10.如权利要求1所述的基板处理装置,其特征在于,
上述基板处理装置还包括形成于上述遮断板的内部并流过从外部供给的冷媒的冷却流路。
11.如权利要求10所述的基板处理装置,其特征在于,
上述基板处理装置还包括插入到沿着上述遮断板的上表面形成的设置槽中的密封部件,并且上述冷却流路沿着上述密封部件邻接配置。
12.如权利要求1所述的基板处理装置,其特征在于,
上述基板处理装置还具备:
升降轴,竖立设置在上述遮断部件的外侧;
升降电机,连接在上述升降轴而绕着上述升降轴旋转;
支承环,连接在上述连接气缸的下部;及
托架,分别连接在上述支承环和上述升降轴,随着上述升降轴的旋转与上述支承环一起升降。
CN201480052654.7A 2013-10-17 2014-10-17 基板处理装置 Active CN105580126B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020130123761A KR101557016B1 (ko) 2013-10-17 2013-10-17 기판 처리장치
KR10-2013-0123761 2013-10-17
PCT/KR2014/009807 WO2015057023A1 (ko) 2013-10-17 2014-10-17 기판 처리장치

Publications (2)

Publication Number Publication Date
CN105580126A true CN105580126A (zh) 2016-05-11
CN105580126B CN105580126B (zh) 2018-10-09

Family

ID=52828391

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480052654.7A Active CN105580126B (zh) 2013-10-17 2014-10-17 基板处理装置

Country Status (6)

Country Link
US (1) US10229845B2 (zh)
JP (1) JP6158436B2 (zh)
KR (1) KR101557016B1 (zh)
CN (1) CN105580126B (zh)
TW (1) TWI559362B (zh)
WO (1) WO2015057023A1 (zh)

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101715193B1 (ko) 2015-07-20 2017-03-10 주식회사 유진테크 기판 처리장치
JP6564642B2 (ja) 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
KR101760316B1 (ko) 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11361981B2 (en) 2018-05-02 2022-06-14 Applied Materials, Inc. Batch substrate support with warped substrate capability
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210127738A (ko) 2019-03-19 2021-10-22 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11375371B1 (en) * 2019-12-31 2022-06-28 Mcafee, Llc Methods, systems, and media for protected near-field communications
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11862490B2 (en) * 2021-07-28 2024-01-02 Changxin Memory Technologies, Inc. Diffusion furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571330A (en) * 1992-11-13 1996-11-05 Asm Japan K.K. Load lock chamber for vertical type heat treatment apparatus
US20040154537A1 (en) * 2003-02-05 2004-08-12 Choung-Ku Chon Diffusion furnace used for manufacturing integrated circuits and method for cooling the diffusion furnace
JP2008177524A (ja) * 2006-10-13 2008-07-31 Tokyo Electron Ltd 熱処理装置
WO2013103194A1 (ko) * 2012-01-04 2013-07-11 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
KR100745932B1 (ko) 2003-02-21 2007-08-02 가부시키가이샤 히다치 고쿠사이 덴키 기판처리장치 및 반도체 디바이스의 제조 방법
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP2010171388A (ja) * 2008-12-25 2010-08-05 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び基板処理用反応管
KR101364701B1 (ko) 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
KR101215511B1 (ko) 2012-06-27 2012-12-26 (주)이노시티 프로세스 챔버 및 기판 처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571330A (en) * 1992-11-13 1996-11-05 Asm Japan K.K. Load lock chamber for vertical type heat treatment apparatus
US20040154537A1 (en) * 2003-02-05 2004-08-12 Choung-Ku Chon Diffusion furnace used for manufacturing integrated circuits and method for cooling the diffusion furnace
JP2008177524A (ja) * 2006-10-13 2008-07-31 Tokyo Electron Ltd 熱処理装置
WO2013103194A1 (ko) * 2012-01-04 2013-07-11 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치

Also Published As

Publication number Publication date
WO2015057023A1 (ko) 2015-04-23
TW201530609A (zh) 2015-08-01
JP6158436B2 (ja) 2017-07-05
CN105580126B (zh) 2018-10-09
JP2016540372A (ja) 2016-12-22
US20160195331A1 (en) 2016-07-07
KR101557016B1 (ko) 2015-10-05
US10229845B2 (en) 2019-03-12
KR20150045012A (ko) 2015-04-28
TWI559362B (zh) 2016-11-21

Similar Documents

Publication Publication Date Title
CN105580126A (zh) 基板处理装置
JP6062075B2 (ja) 基板処理装置
KR20080090328A (ko) 막 증착 장치 및 방법
KR100975717B1 (ko) 기상성장장치와 기상성장방법
CN101764049A (zh) 基板处理装置
CN104835758B (zh) 基板处理装置、加热装置、顶壁隔热体及半导体器件的制造方法
US20110318489A1 (en) Substrate processing apparatus, processing tube, substrate holder, fixing part of the substrate holder, substrate processing method, and substrate manufacturing method
US10550491B2 (en) Film-forming apparatus
US10584417B2 (en) Film forming apparatus, susceptor, and film forming method
JP2016516291A (ja) 基板処理装置
US20080308036A1 (en) Vapor-phase growth apparatus and vapor-phase growth method
CN208829761U (zh) 一种沉积炉管
JP2016516292A (ja) 基板処理装置
TW201835968A (zh) 基底處理裝置
JP2012069831A (ja) 基板処理装置および半導体装置の製造方法
CN105914163A (zh) 衬底处理装置、半导体器件的制造方法以及加热部
JP5006821B2 (ja) 基板処理装置および半導体装置の製造方法
JP2009021533A (ja) 気相成長装置及び気相成長方法
JP2010086986A (ja) 基板処理装置
JP2023005996A (ja) 基板処理装置及び基板処理方法
TW202343634A (zh) 基板處理裝置及腔室內襯
KR20130080320A (ko) 반도체 소자 제조 장치
JP2012253134A (ja) 基板処理装置
JP2008130673A (ja) 半導体製造装置
TW201833382A (zh) 加熱均勻之有機金屬化學氣相沉積系統

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant