CN105556654A - 用于传送基板的气动终端受动器装置、基板传送系统与方法 - Google Patents

用于传送基板的气动终端受动器装置、基板传送系统与方法 Download PDF

Info

Publication number
CN105556654A
CN105556654A CN201480051766.0A CN201480051766A CN105556654A CN 105556654 A CN105556654 A CN 105556654A CN 201480051766 A CN201480051766 A CN 201480051766A CN 105556654 A CN105556654 A CN 105556654A
Authority
CN
China
Prior art keywords
end effector
base portion
suction element
substrate
main body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480051766.0A
Other languages
English (en)
Other versions
CN105556654B (zh
Inventor
丹尼尔·格林伯格
阿扬·马宗达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105556654A publication Critical patent/CN105556654A/zh
Application granted granted Critical
Publication of CN105556654B publication Critical patent/CN105556654B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0052Gripping heads and other end effectors multiple gripper units or multiple end effectors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

提供用于在电子器件制造系统的系统部件之间传送基板的包含气动终端受动器的系统、装置与方法。在一个方面中,终端受动器具有适于与机器人部件连接的基部,及定位于所述基部上的一个或多个气动吸力元件。将气动源应用于气动吸力元件以吸引基板与终端受动器的接触垫接触。公开方法与系统及多个其他方面。

Description

用于传送基板的气动终端受动器装置、基板传送系统与方法
相关申请
本申请主张于2013年9月26日提出申请的美国临时专利申请第61/882,787号的优先权权益,所述美国临时专利申请发明名称为“PNEUMATICENDEFFECTORAPPARATUS,SYSTEMS,ANDMETHODSFORTRANSPORTINGSUBSTRATES(用于传送基板的气动终端受动器装置、系统与方法)”(代理人案号21232/L),为所有目的,所述美国临时专利申请通过被本申请参考的方式结合于此。
技术领域
本发明关于电子器件的制造,而更特定而言是关于用于传送基板的终端受动器装置、系统与方法。
先前技术
在电子器件、产品和存储器制品(memoryarticle)的制造中,对于这些半导体晶片(例如,图案以及非图案化的基板)的前驱物制品可通过机器人装置在制造设施的多个部件之间以及在工具中传送。例如,在传送腔室中可由一个工艺腔室传送到另一个工艺腔室,从装载锁定室传送到工艺腔室,从基板载体传送到群集工具的工厂接口中的载入口,或诸如此类。在这样的机器人传送期间,移动与放置基板需要具有速度与精确度。终端受动器上基板的任何滑动都可能导致产生不必要的颗粒且可能需要未对准校正,这可能花费时间。
因此,寻求电子器件制造中用于传送基板的有效的系统、装置与方法。
发明内容
根据第一方面,提供一种用于电子器件制造系统中的在系统部件之间传送基板的终端受动器装置。所述终端受动器装置包括适于与机器人部件连接的基部,及定位在所述基部上的气动吸力元件。
在另一个方面中,提供一种用于在电子器件制造系统部件之间传送基板的基板传送系统。所述基板传送系统包括机器人部件,及与机器人部件耦接的终端受动器,终端受动器包含适于与机器人部件连接的基部,及定位在所述基部上的气动吸力元件。
在又另一个方面中,提供一种于在电子器件制造系统的部件之间传送基板的方法。所述方法包括提供与机器人部件耦接的终端受动器,终端受动器具有一个或多个气动吸力元件及三个或更多个接触垫,在三个或更多个接触垫上支撑基板,及通过一个或多个气动吸力元件的操作而产生吸力以吸引基板与至少三个接触垫有增加的接触力,所述增加的接触力超过重力所提供的接触力。
根据本发明的这些与其他实施方式,提供多个其他方面。本发明实施方式的其他特征与方面将由下文中详细的描述、附加的申请专利范围和附图而更加清楚明了。
图式简单说明
图1根据实施方式绘示包括气动吸力元件(pneumaticsuctionelement)的终端受动器的透视图。
图2根据实施方式绘示包括裸露气动通道(移除覆盖物)的终端受动器基部的实施方式的一部分的下侧透视图。
图3A绘示沿着图1的线3A-3A的终端受动器的气动吸力元件的截面示意图。
图3B是根据实施方式的终端受动器装置的吸力元件的透视图。
图4根据实施方式绘示包括带有一个或多个气动吸力元件的终端受动器的电子器件处理系统的顶部概要示意图。
图5系根据实施方式绘示了在电子器件制造系统的部件之间传送基板的方法的流程图。
图6A-6C根据实施方式绘示带有一个或多个气动吸力元件的另一各终端受动器的多个组件与部件的示意图。
具体实施方式
电子器件制造工艺使用多个前驱物制品以产生最后装置,诸如半导体晶片、玻璃板、掩模(本发明中的全部这些前驱物制品被称为“基板”。在基板从制造工艺与系统中的一个位置到另一个位置的传送期间,基板可由终端受动器(有时被称为“叶片(blade)”)承载。在传送期间,基板静置在终端受动器上且可预期叶片与基板之间的滑动被减少或消除。当经历横向移动时,减少或消除终端受动器与基板之间这样的相对滑动移动可减少定位误差、减少当实际滑动产生时的重定位时间(如未对准校正时间),即可进一步减少颗粒的产生,颗粒产生可能导致污染工具、其他系统部件及基板。
本发明人发现,如果提供适当的向下吸力用于将基板夹到终端受动器或将基板黏附到终端受动器,则终端受动器与被固定的基板的加速度(如垂直和/或横向加速度)可增加。因为基板可在多个系统部件之间被更快速地移动,这可转换成增加的工艺产量,潜在地导致降低电子器件制造成本。此外,可减少的颗粒产生可能导致提升的工艺良率。此外,也可期望夹紧或黏附机制可被容易地操作与放开。
根据第一方面,终端受动器装置的一个或多个实施方式可包括基部,在所述基部上具有一个或多个气动吸力元件(在所绘示的实施方式中有多个)。气动元件可操作地增加黏附力而将基板吸引到终端受动器的接触垫。因此,可通过使用一个或多个气动元件来执行终端受动器与被固定的基板的更快速的移动。
根据另一个实施方式,提供一种基板传送系统。基板传送系统可包括机器人构件,诸如机器人腕、机器人臂和/或一系列的机器人部件,并且终端受动器装置包括与机器人构件耦接的一个或多个气动吸力元件。包括一个或多个气动吸力元件的终端受动器可适当产生高黏附力(有时称为“夹持力”),使得在垂直和/或横向移动期间可减少或消除终端受动器与基板之间的滑动。在某些例子中,可达到在基板上大于1磅的吸力。
下文通过参考图1-6C描述包括一个或多个气动吸力元件、基板传送系统的终端受动器装置,以及在电子器件制造系统的部件之间传送基板及操作终端受动器与系统的方法的这些与其他实施方式。相同的数字编号在各处用于代表相同的元件。
图1-3B根据本发明一个或多个实施方式绘示终端受动器100及终端受动器100的多个部件。终端受动器100包括基部102,所述基部102包含适于附接于机器人部件(未图示出)的第一端,及在相对于第一端的端部上的第二端,第二端包括第一腿部106与第二腿部107。如图所示,基部102可以是实质上平坦的,且可具有约0.118英寸(3mm)至约0.157英寸(4mm)之间的厚度,且可由适当材料制造,例如诸如铝、钛、不锈钢或陶瓷。根据基板的尺寸和终端受动器100可暴露的处理温度,可使用其他的材料与尺寸。
例如,基部102与第一腿部及第二腿部106、107可以与实际容纳基板支撑件(如工艺腔室的升降杆(未图示出))通过的宽度一样宽。终端受动器100可通过任何适当方法(诸如通过紧固件(如螺栓(bolt)、螺钉(screw)、铆钉、夹具、快拆或其类似物))附接于第一端上的机器人部件109(如图4中虚线所示的机器人腕或任选的的机器人臂)。
一个或多个气动吸力元件(如气动吸力元件105A-105D)可通过机械固定或凹陷定位在基部102上。附图显示了四个气动吸力元件,但可依据所需额外黏附力的程度而提供少如一个或多如四个或更多的气动吸力元件。在所示的终端受动器100中,多个气动吸力元件105A-105D定位在基部102上。可依适当间距设置多个气动吸力元件105A-105D。例如,在所绘示的实施方式中,第一气动吸力元件105A可设置于第一腿部106上且靠近于第一腿部尖端106T。第二气动吸力元件105B可设置于第二腿部107上且靠近于第二腿部尖端107T。第三气动吸力元件105C与甚至于第四气动吸力元件105D可设置于基部102上且靠近连接部分108。连接部分108适于与机器人部件109耦接(如虚线所示)。如本说明书将进一步讨论,由于所提供的吸力,终端受动器100适于产生完全向下的至少1lb.(至少1.1N)的吸力以将基板345夹持在终端受动器100上(见图4)。在某些实验性的实施方式中,估计各气动吸力元件在约60psi的流(flow)处会产生约4.3N。同样地,在40psi的流处,可产生约0.43lb.(约1.9N)的力。所以使用四个气动吸力元件105A-105D并在40psi处操作可能产生约1.7lb.的黏附力(约0.43lb.×4=1.7lb.(约7.5N))。
终端受动器100可包括形成于基部102内的一个或多个气动通道(如111A-111D)。如图所示,提供四个气动通道111A-111D。气动通道111A-111D与气动吸力元件105A-105D连接且在气动吸力元件105A-105D处提供气动流。通道111A-111D可通过凹槽112A-112D与容纳于这些凹槽之上的一个或多个盖件114(图2中仅示出一个盖件114)的组件形成。凹槽112A-112D可于基部102的下侧中形成,如图2所示。因此,气动通道111A-111D可在基部102的层之间形成。盖件114(图中仅示出一个)可容纳于凹穴中且固定于其中,凹穴环绕基部102中的凹槽112A-112D。可通过固定件、烤(braising)、黏接剂或类似物固定。因此,在所绘示的实施方式中,基部102中的气动通道111A-111D可由具有凹槽112A-112D形成于其中的第一层及包含盖件114的第二层组成。因此,气动通道111A-111D可在层之间形成,诸如介于基部102的层之间。可使用其他用于连接到气动吸力元件105A-105D的适当构造与管道。
在某些实施方式中,气动通道111A-111D可向气动吸力元件105A-105D延伸且可将多个气动吸力元件105A-105D相互连接。各气动通道111A-111D可与主连接部115相互连接。主连接部115可与主气动供应通道116(如图1中虚线所示)耦接,所述主气动供应通道116可将气动通道111A-111D及气动吸力元件105A-105D连接至气动供应系统118。在某些实施方式中,主气动供应通道116可穿过终端受动器100所附接的机器人的多个臂元件。
通过主气动供应通道116的流以及由气动吸力元件105A-105D施于基板的吸力程度可由气动供应系统118控制。气动供应系统118可包括气动源120、一个或多个阀122及控制器124。气动源120可包括泵、储存器(reservoir)、蓄电池和/或其他适当气动部件以供应约25slm至约90slm之间的流动速率。可使用用于控制气体流动的其它流动速率与方法。可通过气动供应系统118打开及关闭流动或者可另外控制或调整流动速率。
现在参考图3A和3B,将描述气动吸力元件105A-105D的细节。每个气动吸力元件105A-105D可与本说明书所述的气动吸力元件105A相同。如图所示,气动吸力元件105A包括主体325,主体325适于与基部102耦接且具有导件(pilot)部分326、内凹部328,及与内凹部328相交并进入内凹部328的一个或多个流动口330A-330C。在绘示的实施方式中,也可提供凸缘部分332。如图所示,气动吸力元件105A包括形成于主体325与凹孔334之间的环形流动通道333,凹孔334在基部102中形成。环形流动通道333与气动通道111A互相连接,气动通道111A在基部102中形成且从气动通道111A接收气流。如图3A中所示,盖件114与基部102的主板335可形成气动通道111A。
在所绘示的实施方式中,图示了三个流动口330A-330C。然而,可使用更少个或更多个流动口。流动口330A-330C在环形流动通道333与内凹部328之间连接。具体而言,流动口330A-330C与内凹部328的外壁336相交。在所绘示的实施方式中,一个或多个流动口330A-330C进入内凹部328并被布置为规划在内凹部328的外壁336处的流。例如,流动口330A-330C可设置成使得流以与内凹部328的外壁336相切的方式进入内凹部328的角度。在此方式中,通过流动口330A-330C的流可产生涡流状的流动模式,涡流状的流动模式在内凹部328中循环旋转移动。
如图所示,主体325可容纳于凹孔334中且可提供凸缘部分332而与密封件338(诸如O形环密封件)密封接触。固定件340可将气动吸力元件105A固定到基部102。
此外,气动吸力元件105A可包括接触垫342,用于将基板345(只显示了部分)与基部102分隔开适当距离。在所绘示的实施方式中,接触垫342与主体325耦接,诸如与柱346耦接,柱346在内凹部328中向上延伸。在图1与图2所绘示的实施方式中,接触垫342的数量可实质上由每气动吸力元件105A-105D一个接触垫组成。在其他实施方式中,接触垫342可在适当位置与基部102耦接或与基部102集成,即不同于气动吸力元件105A-105D的位置。
接触垫342可以是任何适合形状(在顶视图中),如圆形、卵形(oval)、正方形、六边形、八边形或矩形。可使用其他形状。在所绘示的实施方式中,两个接触垫342可在横向方向分隔开,诸如在腿部106、107上,且至少一个以上可设置在基部102上的其它位置。在所绘示的实施方式中,接触垫342可实质设置在气动吸力元件105A的中心线上。在某些实施方式中,接触垫342提供与基板345至少三点的接触,从而提供在基板345与基部102顶表面之间的间隙。在某些实施方式中,所述间隙可以在约0.5mm至约1.5mm之间。例如,可使用小于0.15mm的间隙,或甚至可使用小于0.10mm,或甚至可使用小于0.9mm。可使用其它的间隙尺寸。
接触垫342可通过任何适当的方法固定至主体325,诸如焊接、压配合、黏接、螺钉连接、螺栓连接或其他机械固定,或类似方法。接触垫342可具有平的或圆顶形(domed)的外形。
图4绘示适于在电子器件制造系统部件之间传送基板345(虚线所示)的基板传送系统400。基板传送系统400包括机器人部件109,诸如机器人腕元件或其他可移除的机器人元件或臂。在所绘示的实施方式中,机器人部件109可以是机器人450的部件(如机器人腕构件),所述机器人部件109可设置在所绘示实施方式中的主机壳体454的传送腔室452中。机器人450与所耦接的终端受动器100可配置为并适于将基板345(在图4中以虚线表示)于群集工具的多个腔室来回传送,例如,诸如在处理腔室455A-455F来回传送,或在装载锁定室456A、456B来回传送。与机器人元件109耦接的终端受动器100可以是包括本说明书所述的一个或多个气动吸力元件105A-105D的终端受动器100的任一个。
如图所示,可与终端受动器100的主连接部115(图1)耦接的主气动供应通道116可穿过机器人450的多个元件,诸如经由机器人部件109与臂458、460通过并与气动供应系统118耦接。
机器人450可以是任意形式的机器人,如三连杆(three-link)机器人、四连杆(four-link)机器人、选择顺应性关节机器人臂(SCARA)机器人,或独立可控臂(independently-controllable-arm)机器人。可使用其他类型的机器人。例如,机器人450可包括支撑基部,所述基部适于安置到如主框架壳体454。任选地,包括本说明书所述的终端受动器100的工厂接口机器人461(如虚线框所示)可用于工厂接口462中以传送来自与工厂接口462的载入口及装载锁定室456A、456B耦接的基板载体464的基板。在某些实施方式中,机器人450、461可包括垂直(Z轴)移动能力。例如,沿着Z轴的终端受动器100的垂直运动可用于完成基板345在基板支撑件上的放置,诸如通过将基板345放置在处理腔室(如任何一个或多个处理腔室455A-455F)中的升降杆上,或放置在如基板载体464或装载锁定室456A、456B中的槽部上。机器人450、461可包括任意数量的机器人臂,所述机器人臂可由带或其他传动部件连接与驱动。机器人控制器(未图示出)可提供适当的控制信号到机器人450、461以控制终端受动器100的移动。气动供应系统118的控制器124(图1)可与机器人控制器集成或通信以完成基板345的传送。
终端受动器100可适于用于与任何适当的机器人450、461一起使用,所述机器人450、461适于传送基板345。例如,终端受动器100可适于与如美国专利号5,789,878、5,879,127、6,267,549、6,379,095、6,582,175、和6,722,834以及美国专利公开号2010/0178147、2013/0039726、2013/0149076、2013/0115028、和2010/0178146中所述的机器人一起使用。同样地,终端受动器100可而与其他类型与构造的工艺腔室一起使用。
图5绘示在电子器件制造系统的部件之间传送基板的方法500(见图4)。方法500包括,在502中,提供与机器人部件(如机器人部件109,诸如腕构件或其他机器人部件)耦接的终端受动器(如终端受动器100),终端受动器具有一个或多个气动吸力元件(如气动吸力元件105A、105B、105C和/或105D)及三个或更多个接触垫(如接触垫342)。
方法500进一步包括,在504中,将基板(如基板345)支撑在三个或更多个接触垫上,以及,在506中,经由一个或多个气动吸力元件的操作产生吸力而吸引(draw)基板与至少三个接触垫有增加的接触力,所述增加的接触力超过重力所提供的接触力。吸力提供的额外的向下的力可以是1lb.(约4.45N)或更多,从而超过重力提供的力。
图6A-6C绘示终端受动器600的另一个实施方式及其多个部件。终端受动器600包括基部602,基部602包括适于被附接于机器人部件的第一端(未图示出),及在相对于第一端的端部上的第二端,第二端包括第一腿部606与第二腿部607。如图所示,基部602可以是实质平坦的,且可具有厚度,且可由如前述所讨论的材料制成。
终端受动器100可通过任何适合的方法而附接于机器人部件109,诸如通过固定件(如螺栓(bolt)、螺钉(screw)、铆钉、夹具、快拆或类似物)。
一个或多个气动吸力元件可通过机械固定件、烤或凹陷而定位在基部602上。附图显示两个气动吸力元件605A、605B,但可依据超过重力的所需额外黏附力(如吸力)的程度而提供少如一个或多如四个或更多个气动吸力元件。在所绘示的终端受动器600中,气动吸力元件605A、605B定位于基部602上。气动吸力元件605A、605B可设置在基部602上除腿部606、607外的其它位置。
终端受动器600可包括形成于其中的一个或多个气动通道(如611A、611B)。如所绘示的,气动通道611A、611B提供在气动吸力元件605A、605B处的气动流。通道611A、611B可通过凹槽612A、612B与凹槽612C、612D的组件形成,凹槽612A、612B于基部602中形成,凹槽612C、612D于主体625中形成。因此,气动通道611A、611B可在基部602与主体625的层之间形成。主体625可容纳于凹穴中,凹穴于基部602中形成。可通过固定件或黏接剂或类似物固定。
在某些实施方式中,气动通道611A、611B可向气动吸力元件605A、605B延伸且可与气动吸力元件605A、605B相互连接。各气动通道611A、611B可与主连接部615相互连接。主连接部615可与主气动供应通道耦接,诸如图1中所示的主气动供应通道116。
再参考图6A-6C,将描述气动吸力元件605A、605B的细节。各个气动吸力元件605A、605B可以是相同的,但是他们为镜像相同。如图所示,气动吸力元件605A、605B包括适于与基部602耦接的主体625。主体625与基部602形成导件部分626、内凹部628、及与内凹部628相交并进入内凹部628的一个或多个流动口630A-630D。
在绘示的实施方式中,也提供凸缘部分632。如图所示,气动吸力元件605A、605B各包括形成于主体625与基部602之间的环形流动通道633,其中环形流动通道633与气动通道611A、611B相互连接。
在绘示的实施方式中,图示四个流动口630A-630D。然而,可使用更少或更多个流动口。流动口630A-630D连接环形流动通道633与内凹部628,并提供环形流动通道633与内凹部628之间的流动口。具体而言,流动口630A-630D与内凹部628的外壁636相交。在绘示的实施方式中,一个或多个流动口630A-630D进入内凹部628并被布置为规划在内凹部628的外壁636处的气体流。例如,流动口630A-630D可设置成使得气体流以与内凹部328的外壁636实质上相切的方式进入内凹部628的角度。在此方式中,通过流动口630A-630D的流可在内凹部628中产生涡流状的流动模式,而在基板645(在图6A中以虚线表示)上产生向下的力。
如图所示,主体625可容纳于基部602的凹孔中且可与所述主体密封接触,诸如通过焊接、烤、黏接剂、固定件或类似物。
此外,基部602可包括用于将基板645从基部602分隔一适当距离的接触垫642。接触垫642的数量可包括三个或更多个且可在适当位置与基部602耦接或集成。
接触垫642可以是任意形状(在顶视图中),如圆形、卵形、正方形、六边形、八边形或矩形。可使用其他形状。优选地,两个接触垫642可在横向方向分隔开,诸如在腿部606、607上,且至少一个以上接触垫可设置在基部602上的其它位置。在所绘示的实施方式中,接触垫642提供与基板645至少三点的接触,从而提供在基板645与基部602顶表面之间的间隙。所述间隙在某些实施方式中可以在约0.5mm至约1.5mm之间。例如,可使用小于0.15mm的间隙,或甚至可使用小于0.10mm,或甚至可使用小于0.9mm。可使用其他的间隙尺寸。接触垫342可具有平的或圆顶形的外形。
前述说明只公开本发明的示例性实施方式。落于本发明范围内的对以上公开的系统、装置与方法的变化将对本领域技术人员是是显而易见的。因此,虽然已公开本发明与其相关联的若干实施方式,但应当了解其他实施方式也可落于通过以下申请专利范围所定义的本发明范围内。

Claims (15)

1.一种终端受动器装置,包括:
基部,所述基部适于连接到机器人部件;及
气动吸力(pneumaticsuctionelement)元件,所述气动吸力元件定位于所述基部上。
2.如权利要求1所述的终端受动器装置,包括多个定位于所述基部上的气动吸力元件。
3.如权利要求1所述的终端受动器装置,其中所述终端受动器适于产生至少1lb的总吸力。
4.如权利要求1所述的终端受动器装置,其中所述基部包括第一层和第二层,并且气动通道形成在所述第一层和所述第二层之间。
5.如权利要求1所述的终端受动器装置,包括至少部分形成于所述基部内的气动通道。
6.如权利要求1所述的终端受动器装置,其中所述基部进一步包括第一层和第二层,及至少一个气动通道形成于所述层之间并向所述气动吸力元件延伸。
7.如权利要求1所述的终端受动器装置,其中所述气动吸力元件包括适于与所述基部耦接的主体及与所述主体耦接的接触垫。
8.如权利要求1所述的终端受动器装置,其中所述气动吸力元件包括主体,所述主体具有:
导件(pilot)部分,
内凹部,及
一个或多个流动口,所述一个或多个流动口与所述内凹部相交。
9.如权利要求1所述的终端受动器装置,其中所述气动吸力元件包括适于与所述基部耦接的主体,及形成在所述主体与所述基部之间的环形流动通道,其中所述环形流动通道与气动通道相互连接。
10.如权利要求1所述的终端受动器装置,其中所述气动吸力元件包括适于与所述基部耦接的主体、在所述主体中的内凹部、及进入所述内凹部的一个或多个流动口。
11.如权利要求10所述的终端受动器装置,其中进入所述内凹部的所述一个或多个流动口与所述内凹部的外壁实质地相切。
12.如权利要求1所述的终端受动器装置,其中所述气动吸力元件包括适于与所述基部耦接的主体,所述主体包含容纳于所述主体的凹孔中的导件部分及在所述导件部分与所述凹孔之间的环形流动通道。
13.一种用于在电子器件制造系统部件之间传送基板的基板传送系统,包括:
机器人部件;及
终端受动器,所述终端受动器与所述机器人部件耦接,所述终端受动器包含:基部,所述基部适于与机器人部件连接,及
气动吸力元件,所述气动吸力元件定位在所述基部上。
14.如权利要求13所述的基板传送系统,包括至少部分形成于所述基部中的一个或多个气动通道及与所述一个或多个气动通道耦接的气动供应系统。
15.一种在电子器件制造系统的部件之间传送基板的方法,包括以下步骤:
提供与机器人部件耦接的终端受动器,所述终端受动器具有一个或多个气动吸力元件及三个或更多个接触垫;
在所述三个或更多个接触垫上支撑基板;及
通过所述一个或多个气动吸力元件的操作产生吸力,以吸引所述基板与所述至少三个接触垫有增加的接触力,所述增加的接触力超过重力所提供的接触力。
CN201480051766.0A 2013-09-26 2014-09-25 用于传送基板的气动终端受动器装置、基板传送系统与方法 Expired - Fee Related CN105556654B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361882787P 2013-09-26 2013-09-26
US61/882,787 2013-09-26
PCT/US2014/057479 WO2015048303A1 (en) 2013-09-26 2014-09-25 Pneumatic end effector apparatus, substrate transportation systems, and methods for transporting substrates

Publications (2)

Publication Number Publication Date
CN105556654A true CN105556654A (zh) 2016-05-04
CN105556654B CN105556654B (zh) 2019-07-26

Family

ID=52691092

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480051766.0A Expired - Fee Related CN105556654B (zh) 2013-09-26 2014-09-25 用于传送基板的气动终端受动器装置、基板传送系统与方法

Country Status (6)

Country Link
US (1) US9202738B2 (zh)
JP (1) JP6362681B2 (zh)
KR (1) KR20160062095A (zh)
CN (1) CN105556654B (zh)
TW (1) TWI611997B (zh)
WO (1) WO2015048303A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636523B (zh) * 2017-04-07 2018-09-21 台灣積體電路製造股份有限公司 基材傳送裝置及其端緣作用器
CN108695217A (zh) * 2017-04-07 2018-10-23 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
CN111344854A (zh) * 2017-10-27 2020-06-26 科磊股份有限公司 用于极端翘曲晶片的衬底处置设备
CN113543940A (zh) * 2019-02-27 2021-10-22 应用材料公司 可更换的终端受动器接触垫、终端受动器和维护方法

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6224437B2 (ja) * 2013-11-26 2017-11-01 東京エレクトロン株式会社 基板搬送装置
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9640418B2 (en) 2015-05-15 2017-05-02 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
KR101636069B1 (ko) * 2015-12-08 2016-07-11 주식회사 라파스 마이크로구조체 제조방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10566230B2 (en) * 2016-04-01 2020-02-18 Sunpower Corporation Gripper for semiconductor devices
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
NL2018244B1 (en) 2017-01-27 2018-08-07 Suss Microtec Lithography Gmbh Endeffektor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11121019B2 (en) * 2018-06-19 2021-09-14 Kla Corporation Slotted electrostatic chuck
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10553472B2 (en) * 2018-06-22 2020-02-04 Jabil Inc. Apparatus, system and method for providing a bernoulli-based semiconductor wafer pre-aligner
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11458639B2 (en) * 2019-07-18 2022-10-04 Mujin, Inc. Systems for changing tools on a gripper apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004186355A (ja) * 2002-12-03 2004-07-02 Nikon Corp 吸着保持部材及び吸着保持装置
KR200404848Y1 (ko) * 2005-10-27 2005-12-28 에스브이에스 주식회사 웨이퍼 이송암
CN101172540A (zh) * 2006-10-02 2008-05-07 Smc株式会社 非接触式运送装置
KR20080068319A (ko) * 2007-01-19 2008-07-23 피에스케이 주식회사 기판 반송 장치
CN201102246Y (zh) * 2007-11-16 2008-08-20 沈阳芯源微电子设备有限公司 传送手臂
US20110160897A1 (en) * 2008-07-10 2011-06-30 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
AT411304B (de) 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6817640B2 (en) 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
US6935830B2 (en) * 2001-07-13 2005-08-30 Tru-Si Technologies, Inc. Alignment of semiconductor wafers and other articles
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
JP2003282668A (ja) * 2002-03-26 2003-10-03 Dainippon Screen Mfg Co Ltd 非接触基板保持装置
US20050110292A1 (en) * 2002-11-26 2005-05-26 Axcelis Technologies, Inc. Ceramic end effector for micro circuit manufacturing
JP4437415B2 (ja) * 2004-03-03 2010-03-24 リンク・パワー株式会社 非接触保持装置および非接触保持搬送装置
JP2007176637A (ja) * 2005-12-27 2007-07-12 Harmotec Corp 非接触搬送装置
JP2007216329A (ja) * 2006-02-15 2007-08-30 Uinzu:Kk ハンド
JP5250864B2 (ja) * 2008-03-26 2013-07-31 Smc株式会社 搬送装置
DE202008010424U1 (de) 2008-07-30 2009-12-24 J. Schmalz Gmbh Mit Druckluft betriebener Flächensauggreifer
JP4629763B2 (ja) * 2008-10-17 2011-02-09 日本特殊陶業株式会社 配線基板の非接触搬送装置及び方法、樹脂製配線基板の製造方法
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
JP5846917B2 (ja) 2009-01-11 2016-01-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板を移動させるシステム、装置、および方法
CN102349145B (zh) 2009-01-11 2015-05-27 应用材料公司 用于传送基板的静电末端执行器设备、系统和方法
JP5581338B2 (ja) 2009-01-11 2014-08-27 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造において基板を搬送するためのロボットシステム、装置、および方法
JP2011138877A (ja) * 2009-12-28 2011-07-14 Seiko Epson Corp 非接触保持体及び非接触保持ハンド
TW201222715A (en) 2010-07-02 2012-06-01 Fortrend Engineering Corp Thin substrate, mass-transfer bernoulli end-effector
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US20130149076A1 (en) 2011-12-12 2013-06-13 Applied Materials, Inc. Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004186355A (ja) * 2002-12-03 2004-07-02 Nikon Corp 吸着保持部材及び吸着保持装置
KR200404848Y1 (ko) * 2005-10-27 2005-12-28 에스브이에스 주식회사 웨이퍼 이송암
CN101172540A (zh) * 2006-10-02 2008-05-07 Smc株式会社 非接触式运送装置
KR20080068319A (ko) * 2007-01-19 2008-07-23 피에스케이 주식회사 기판 반송 장치
CN201102246Y (zh) * 2007-11-16 2008-08-20 沈阳芯源微电子设备有限公司 传送手臂
US20110160897A1 (en) * 2008-07-10 2011-06-30 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636523B (zh) * 2017-04-07 2018-09-21 台灣積體電路製造股份有限公司 基材傳送裝置及其端緣作用器
CN108695217A (zh) * 2017-04-07 2018-10-23 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
CN108695217B (zh) * 2017-04-07 2021-06-29 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
CN111344854A (zh) * 2017-10-27 2020-06-26 科磊股份有限公司 用于极端翘曲晶片的衬底处置设备
CN113543940A (zh) * 2019-02-27 2021-10-22 应用材料公司 可更换的终端受动器接触垫、终端受动器和维护方法

Also Published As

Publication number Publication date
WO2015048303A1 (en) 2015-04-02
US9202738B2 (en) 2015-12-01
TWI611997B (zh) 2018-01-21
TW201515977A (zh) 2015-05-01
KR20160062095A (ko) 2016-06-01
CN105556654B (zh) 2019-07-26
US20150086316A1 (en) 2015-03-26
JP6362681B2 (ja) 2018-07-25
JP2016533636A (ja) 2016-10-27

Similar Documents

Publication Publication Date Title
CN105556654A (zh) 用于传送基板的气动终端受动器装置、基板传送系统与方法
CN107706129B (zh) 接合装置和接合系统
JP5929947B2 (ja) 吸着パッド、ロボットハンドおよびロボット
JP5343954B2 (ja) 基板搬送用ハンド、それを備えた基板搬送装置および基板搬送方法
JP7121707B2 (ja) 把持ツールおよび把持システム
JP4724562B2 (ja) 真空吸着ヘッド、その真空吸着ヘッドを用いた真空吸着装置及びテーブル
JP5861677B2 (ja) 吸着構造、ロボットハンドおよびロボット
JP5345167B2 (ja) 基板保持装置
US9302395B2 (en) Conveying robot
US8985935B2 (en) Mass damper for semiconductor wafer handling end effector
CN109923640A (zh) 接合装置、接合系统、接合方法和计算机存储介质
US20120329000A1 (en) Substrate processing apparatus, substrate processing method, program and computer storage medium
TWI580541B (zh) 並聯式機器人及線性並聯式機構
JP6183301B2 (ja) 自動ハンドリング装置
KR20160082412A (ko) 항공기 동체 자동 장착 및 탈착 장치
KR20150006375A (ko) 흡착 구조, 로봇 핸드 및 로봇
JP2016538711A (ja) ウェーハ‐ハンドリング・エンドエフェクタ
TW201611154A (zh) 晶圓負載及卸載
US8989899B2 (en) Transfer system
KR20190024752A (ko) 흡착 기구, 흡착 핸드, 반송 기구, 수지 성형 장치, 반송 방법 및 수지 성형품의 제조 방법
JP5850757B2 (ja) 加工装置
JP2009059763A (ja) ウエーハ搬送方法
CN104821288A (zh) 搬运机构
US11443965B2 (en) Wafer to wafer bonding apparatuses
WO2007119613A1 (ja) 搬送装置、搬送方法、およびデバイス製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190726

Termination date: 20210925