CN104854693A - 单体静电夹盘 - Google Patents

单体静电夹盘 Download PDF

Info

Publication number
CN104854693A
CN104854693A CN201380064662.9A CN201380064662A CN104854693A CN 104854693 A CN104854693 A CN 104854693A CN 201380064662 A CN201380064662 A CN 201380064662A CN 104854693 A CN104854693 A CN 104854693A
Authority
CN
China
Prior art keywords
electrostatic chuck
heating element
heat conducting
metal level
conducting base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380064662.9A
Other languages
English (en)
Other versions
CN104854693B (zh
Inventor
S·撒奇
D·卢博米尔斯基
J·Y·孙
K·马赫拉切夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201711062566.7A priority Critical patent/CN107833850A/zh
Publication of CN104854693A publication Critical patent/CN104854693A/zh
Application granted granted Critical
Publication of CN104854693B publication Critical patent/CN104854693B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Manipulator (AREA)

Abstract

本发明关于一种静电夹盘,所述静电夹盘包括导热基座,所述导热基座具有安置于所述导热基座中的数个加热元件。金属层覆盖所述导热基座的至少一部分,其中所述金属层使所述数个加热元件免受射频(radio frequency,RF)耦合,且用作所述静电夹盘的电极。抗等离子体介电层覆盖所述金属层。

Description

单体静电夹盘
技术领域
本发明的实施例大体而言是关于一种静电夹盘。
背景
在半导体工业中,装置通过许多生产尺寸不断减小的结构的制造工艺而被制造。一些制造工艺(诸如等离子体蚀刻及等离子体清洗工艺)将基板支座曝露于高速等离子体流(例如在晶圆处理期间曝露所述基板支座的边缘及在腔室清洗期间曝露整个基板支座),以蚀刻或清洗所述基板。所述等离子体可能具有高强腐蚀性,且可腐蚀处理腔室及曝露于所述等离子体的其他表面。
另外,传统静电夹盘包括接合至金属冷却板材的陶瓷圆盘硅酮(silicone)。所述传统静电夹盘中的陶瓷圆盘是通过多步骤制造工艺制造,对于形成嵌入式电极及加热元件,所述多步骤制造工艺成本较高。
概述
在一实施例中,静电夹盘包括导热基座,所述导热基座中具有数个加热元件。金属层覆盖所述导热基座的至少一部分,其中所述金属层使所述数个加热元件免受射频(radio frequency,RF)耦合,且用作所述静电夹盘的一电极。抗等离子体介电层覆盖所述金属层。
附图简述
本发明以实例说明且不作为限制,在附图的所述图式中,相同元件符号表示相同元件。应注意,本揭示案中对“一(an)”或“一(one)”实施例的不同的引用不必引用同一实施例,且所述引用意谓至少一个实施例。
图1图示处理腔室的一实施例的剖视图;
图2图示基板支座组件的一实施例的分解图;
图3图示静电夹盘的一实施例的侧视图;
图4图示静电夹盘中辅助加热元件的一实施例的分解侧视图;以及
图5图示用于制造静电夹盘的工艺的一实施例。
实施例的详细描述
本发明的实施例提供一单体静电夹盘。所述单体静电夹盘包括具有一些内部组件及一系列涂层的集合的导热基座。所述涂层可包括可用作夹持电极及/或射频(radio frequency,RF)电极的金属层涂层,及介电层涂层,所述介电层涂层为抗等离子体陶瓷。所述导热基座的上表面上可形成一或更多凹槽。此等凹槽可包括辅助加热元件,所述辅助加热元件可提供局部加热,以维持所支撑的基板(例如,夹持的晶圆)上的温度的均匀性。金属层可覆盖辅助加热元件,且可提供射频屏蔽,以使辅助加热元免受射频耦合。
与传统静电夹盘不同,所述单体静电夹盘可缺少静电圆盘,所述静电圆盘传统上包含电极及加热元件。因此,制造所述单体静电夹盘的实施例可能比制造传统静电夹盘廉价。另外,与传统静电夹盘相比,所述单体静电夹盘的实施例可提供改良的温度均匀性,且与传统静电夹盘相比,所述单体静电夹盘可具有改良的等离子体抗性。此外,所述实施例提供可快速调整温度的静电夹盘。所述静电夹盘及所支撑的基板可经快速地加热或冷却,一些实施例能够使温度以2℃/s或更快的速度变化。此情况使所述静电夹盘能够用于多步骤工艺,在所述工艺中,例如可在20-30℃处理一晶圆,且为进一步处理,温度可随后快速地斜升至80-90℃。本文中描述的实施例可用于Columbic静电夹盘应用及Johnson Raybek夹盘应用两者。
图1为半导体处理腔室100的一实施例的剖视图,所述半导体处理腔室中安置有基板支座组件148。处理腔室100包括腔室主体102及盖104,腔室主体102及盖104包围内部体积106。腔室主体102可由铝、不锈钢或其他适当的材料制成。腔室主体102大体包括侧壁108及底部110。外衬116可经安置临近侧壁108以保护腔室主体102。外衬116可由抗等离子体或抗含卤素气体材料制成及/或涂覆有抗等离子体或抗含卤素气体材料。在一实施例中,外衬116由氧化铝制成。在另一实施例中,外衬116由氧化钇、钇合金或钇合金的氧化物制成,或外衬116涂覆有氧化钇、钇合金或钇合金的氧化物。
排气口126可界定于腔室主体102中,且可将内部体积106耦接至泵系统128。泵系统128可包括一或更多泵及用以排气且调节处理腔室100的内部体积106的压力的节流阀。
腔室主体102的侧壁108上可支撑盖104。盖104可打开以允许超过处理腔室100的内部体积106,且在盖104关闭时可为处理腔室100提供密封。气体分配盘158可耦接至处理腔室100,以经由为盖104的一部分的气体分配组件130将处理气体及/或清洗气体提供至内部体积106。可用于处理腔室中工艺的处理气体的实例包括含卤素气体,诸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、Cl2、及SiF4,此外还包括诸如O2或N2O的其他气体。载气的实例包括N2、He、Ar及不与处理气体反应的其他气体(例如非反应性气体)。在气体分配组件130的下游表面上可具有多个孔132,以将气流导向基板144的表面。另外,气体分配组件130可具有一中心孔,在所述中心孔处可经由陶瓷气体喷嘴馈送气体。气体分配组件130可通过陶瓷材料(诸如碳化硅、氧化钇等)制造及/或涂覆,以提供对含卤素化学物的抗性,以使气体分配组件130免受腐蚀。
基板支座组件148安置于气体分配组件130下方的处理腔室100的内部体积106中。在处理期间,基板支座组件148固定基板144(例如晶圆)。内衬118可涂覆于基板支座组件140的外围上。内衬118可为抗含卤素气体材料,诸如关于外衬116论述的彼等材料。在一实施例中,内衬118可由与外衬116相同的材料制成。
在一实施例中,基板支座组件148包括支撑台座152的安装板材162及静电夹盘150。石英环146或其他保护环环绕且覆盖静电夹盘150的部分。静电夹盘150包括具有多个内部特征及多涂层的导热基座164。在一实施例中,导热基座164为包括一或更多嵌入式加热元件176、嵌入式绝热体174及/或导管168的金属主体(例如铝),以控制支座组件148的横向温度分布。导管168可流动地耦接至流体源172,所述流体源172经由导管168循环温度调节流体。在一实施例中,嵌入式绝热体174可安置于导管168之间。加热元件176通过加热器电源178调节。导管168及加热元件176可用以控制导热基座164的温度,进而加热及/或冷却静电夹盘150及处理中的基板(例如晶圆)144。导热基座164的温度可使用数个温度传感器监视,所述数个温度传感器可使用控制器195监视。
除加热元件176外,导热基座164可包括一或更多辅助加热元件170。辅助加热元件170可经定位,或可为将附加热量施加至导热基座164及/或基板144的目标区域的辅助加热器。通过共同使用加热元件176及辅助加热元件170,静电夹盘150可保持基板144上的高温度均匀性(例如,至0.5摄氏度之内)。
金属主体164的上表面由金属层180覆盖。金属层180亦可覆盖金属主体164的一或更多侧。金属层180可耦接至夹持电源182,且可用作夹持电极以将基板144夹持至静电夹盘150。夹持电源可施加直流(DC)电压,在将所述直流电压施加于金属层180之前,可经由低通滤波器过滤所述直流电压。
金属层180可进一步经由匹配电路188耦接至一或更多射频功率源184、186,以维持由处理腔室100内的处理气体及/或其他气体形成的等离子体。在一实施例中,金属层180与匹配电路188的耦接是经由同轴输送管(例如同轴管)。所述同轴输送管可包括绝缘管,所述绝缘管包裹在金属管中,所述金属管包裹在另一绝缘管中。或者,所述同轴输送管可包括金属管,所述金属管的内部及外部涂覆有绝缘层(例如介电材料)。经由同轴输送管输送至金属层180的射频功率可施加于金属管的外部。剩余控制线(例如到控制器195、加热器电源178、加热元件168、辅助加热元件170等的剩余控制线)穿过同轴输送管的内部。因此,控制线通过金属管免受射频耦合。
一或更多阻挡直流电容器可插入匹配电路188与金属层180之间。源184、186大体能够产生具有约50kHz至约3GHz的频率及高达约10,000瓦特的功率的射频信号。在一实施例中,射频信号施加于金属层180,交流电(AC)施加于所述加热器,且直流电(DC)亦施加于金属层180。或者,独立射频电极可嵌入于导热基座164中,且匹配电路188可耦接至独立射频电极。
金属层180由介电层136涂覆,所述介电层136可能是抗等离子体的。在一实施例中,介电层136安置于金属主体164的上表面上,在金属层180之上。在另一实施例中,介电层136延伸至金属主体164的侧面,亦覆盖于金属层的侧面上。
介电层136可能为沉积陶瓷、喷雾陶瓷或生长陶瓷,例如Y2O3(氧化钇(yttria)或氧化钇(yttrium oxide))、Y4Al2O9(YAM)、Al2O3(氧化铝)Y3Al5O12(YAG)、YAlO3(YAP)、石英、SiC(碳化硅)Si3N4(氮化硅)硅铝氧氮陶瓷材料、AlN(氮化铝)、AlON(氮氧化铝)、TiO2(二氧化钛)、ZrO2(氧化锆)、TiC(碳化钛)、ZrC(碳化锆)、TiN(氮化钛)、TiCN(碳氮化钛)Y2O3稳定的ZrO2(YSZ)等。介电层136亦可为陶瓷复合材料,诸如分布于Al2O3基质中的Y3Al5O12、Y2O3-ZrO2固溶体或SiC-Si3N4固溶体。介电层136亦可为包括含氧化钇(亦称氧化钇及Y2O3)固溶体的陶瓷复合材料。举例而言,介电层136可为由化合物Y4Al2O9(YAM)及固溶体Y2-xZrxO3(Y2O3-ZrO2固溶体)组成的高性能材料(HPM)。注意,纯氧化钇以及含氧化钇固溶体可掺杂ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或更多者。亦注意,可使用纯氮化铝以及掺杂有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或更多者的氮化铝。或者,所述介电层可为蓝宝石或MgAlON。
在一实施例中,介电层为由Y2O3粉末、ZrO2粉末及Al2O3粉末的混合物生成的高性能陶瓷复合材料。在一实施例中,高性能陶瓷复合材料包含77%的Y2O3、15%的ZrO2及8%的Al2O3。在另一实施例中,高性能陶瓷复合材料包含63%的Y2O3、23%的ZrO2及14%的Al2O3。在另一实施例中,高性能陶瓷复合材料包含55%的Y2O3、20%的ZrO2及25%的Al2O3。相对百分比可为莫耳比。举例而言,高性能陶瓷复合材料可含有77莫耳%的Y2O3、15莫耳%的ZrO2及8莫耳%的Al2O3。此等陶瓷粉末的其他分配亦可用于所述高性能材料。
安装板材162为绝缘体(例如介电性材料),所述安装板材162附接于腔室主体102的底部110,且包括用于为到达导热基座164的公用设备(例如流体、电线、传感器导线等)布线的通路。介电层136可进一步包括多个气体通路,诸如凹槽、凸台及其他表面特征,所述表面特征可在所述介电层的上表面中形成。所述气体通路可流动地耦接至传热(或背部)气体源,诸如钻于导热基座164、金属层180及介电层136中的氦气通孔(未图示)。此等孔可用陶瓷塞填充。陶瓷塞可为多孔的,且可允许氦气流动。尽管如此,陶瓷塞可防止流动等离子体的发生电弧。在操作中,在受控压力下可将背部气体提供至气体通路,以增强静电夹盘150与基板144之间的传热。
图2图示基板支座组件148的一实施例的分解图。基板支座组件148图示静电夹盘150及台座152的分解图。静电夹盘150包括由金属层(未图示)及介电层136覆盖的导热基座164。导热基座164为碟形,所述碟形具有环形外围222,所述环形外围222实质上可与定位于导热基座164上的基板144的形状与大小匹配。在一实施例中,导热基座164可由金属,诸如铝、不锈钢或其他适当材料制成。在替代实施例中,导热基座164可能为导热陶瓷。举例而言,导热基座164可由诸如渗有SiC或钼的铝硅合金的陶瓷复合材料制成。导热基座164应提供良好的强度及耐久性以及传热性质。介电层136的上表面206可具有外环216、多个凸台210及位于所述凸台之间的通道208、212。
图3图示静电夹盘150的横截面侧视图。参看图3,静电夹盘150的导热基座164包括多个加热元件176、一或更多热障壁174及导管168,以维持导热基座164及基板144上的相对均匀的温度。可将电压施加至加热元件176,以加热导热基座164,且加热或冷却的流体可流经所述导管以用于温度控制。通过使用热障壁174可将导热基座164分为多个热隔离区域。在一实施例中,热障壁174为气隙。或者,热障壁174可包含诸如硅酮或玻璃的导热性差的热导体材料。
在一实施例中,加热元件176通过介电材料310与导热基座164电隔离。在一实施例中,通过在金属主体164的下表面中形成凹槽形成加热元件176。凹槽可由介电材料310部分地填充,随后,可将加热元件176置放于所述凹槽中或在所述凹槽中形成加热元件176。加热元件176可为沉积金属,诸如钨、铝或钼。或者,加热元件176可为可置放于所述凹槽中的金属导线或迹线。凹槽可能随后由介电材料310填充。
除加热元件176之外,导热基座164可包括一或更多辅助加热元件170,所有的加热元件170可能为电阻式加热元件。辅助加热元件的功率可能低于加热元件176,且可用以调整所支撑的基板144的目标区域的温度。如图所示,辅助加热元件170定位于导热基座106的上表面中的凹槽315中,相对地接近于所述顶表面。因此,加热元件176及辅助加热元件170可位于导热基座164内的不同平面上。凹槽315可通过蚀刻或切削工艺形成。形成凹槽315后,可沉积介电材料,随后可沉积辅助加热元件170。介电材料可为例如氧化铝、氧化钇、氧化镁或其他介电材料。辅助加热元件可为沉积金属。用于辅助加热元件的金属较佳为具有低膨胀系数的非射频加热金属。可用于辅助加热元件170的金属的实例包括钼、铝及钨。辅助加热元件170可具有同心圆或非同心圆形状,局部椭圆形或其他形状。局部加热元件的数量及置放可经战略性选定,以促进温度精调,获得温度均匀性。
金属层180在金属主体164的上表面的上方以及辅助加热元件170的上方形成。在一实施例中,金属层覆盖导热基座164的上表面及侧壁。另外,金属层可覆盖导热基座164的下表面的部分。在导热基座164亦为导电基座(例如导热基座为金属)的一实施例中,金属层180及基座164围绕辅助加热元件170形成射频封闭区或射频箱。此举可使辅助加热元件170免受射频耦合。若不预防所述射频耦合,则所述射频耦合可导致辅助加热元件不可控地升温,导致热点及/或静电夹盘150及/或基板144遭到损坏。
金属层180可电耦接至导热基座164的底面上的传导表面。若导热基座164为金属,则金属层180可电耦接至所述金属。金属层180经由电连接可接收射频信号及/或直流电压,以用于夹持。因此,金属层180可作为夹持电极或射频电极中的一或两者。在一实施例中,金属层180的厚度约为20-50密耳。然而在替代实施例中,金属层可是更厚或更薄的金属层。
介电层136在金属层180之上形成。介电层136的厚度可经选定以提供所要的介电性质,诸如特定的击穿电压。在一实施例中,当所述静电夹盘用于Columbic模式时,介电层的厚度可为约150微米至1毫米(且在一实例实施例中为约200-300微米)。若所述静电夹盘待用于Johnson Raybek模式,所述介电层的厚度可为约1毫米至约1.5毫米。
如上所述,介电层136可为喷雾、沉积、溅射等的金属。在一实施例中,介电层为高性能陶瓷复合材料,所述材料的硬度较高,在等离子体处理期间可抗磨耗(由于基板与圆盘之间的热性质失配引起的相对运动)。在一实施例中,高性能陶瓷复合材料提供在约5GPa与约11GPa之间的维氏硬度(5Kgf)。在一实施例中,高性能陶瓷复合材料提供约9至10GPa的维氏硬度。另外,在一实施例中,在室温下,所述高性能陶瓷复合材料可具有约为4.90g/cm3的密度、约为215MPa的挠曲强度,约为1.6MPa.m1/2的断裂韧度、约为190GPa的杨氏模量、约为8.5x10-6/K(20~900℃)的热膨胀、约为3.5W/mK的热导率、约为15.5(在20℃,13.56MHz下量测)的介电常数、约为11x10-4(20℃,13.56MHz)的介电损耗角正切,及大于1015Ωcm的体积电阻系数。
在另一实施例中,所述介电层为YAG。在另一实施例中,所述介电层为蓝宝石。在另一实施例中,所述介电层为氧化铝钇(YxAlyOz)。
凸台(未图示)可在介电层136的表面上形成,且介电层146、金属层180及导热基座164可能包括用于氦气流动的孔(未图示)。其他特征(诸如密封带及氦凹槽)亦可形成于介电层146的表面上。在介电层136形成于导热基座164上后,可形成孔、凸台及/或其他特征。在一实施例中,凸台是通过珠粒喷击、食盐喷击或另外使介电层136的表面粗糙而形成。在一实施例中,孔由镭射钻入层及导热基座164中。
图4图示静电夹盘150中的辅助加热元件170的一实施例的分解侧视图400。辅助加热元件170在介电材料405的第一层上方的导热基座184中的凹槽内形成。介电材料405的一或更多附加层随后在辅助加热元件170上方形成。介电材料405可为沉积陶瓷,诸如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、碳化硅(SiC)、氧化钇(Y2O3)、氧化镁(MgO)等。或者,介电材料可为其他介电材料,诸如其他氧化物。沉积于辅助加热元件170上方的金属层180可使辅助加热元件170免受射频耦合。抗等离子体介电层136安置于金属层180之上。抗等离子体介电层136可提供足以执行静电夹持的击穿电压,且可保护静电夹盘150不受等离子体的破坏。
图5图示用于制造静电夹盘的工艺500的一实施例。在工艺500的方块505处提供一导热基座。所提供的导热基座可为具有内部组件(诸如加热元件、热断裂及/或用于冷却及/或加热的内部通道)的金属碟。此等内部元件可使用例如切削、蚀刻及沉积工艺,在金属基座中形成。举例而言,以下参考所述辅助加热元件描述的操作可在导热基座的下表面上执行,以形成所述加热元件。
在方块510处,凹槽在导热基座的上表面中形成。凹槽可通过蚀刻或切削形成。在方块512处,介电材料层沉积于凹槽的内部。在一实施例中,介电材料层可沉积于导热基座的全部上表面的上方。在方块515处,辅助加热元件在介电材料之上的凹槽中形成。此举可通过在介电材料层上方沉积一金属层执行。通过等离子体喷雾、物理气相沉积(PVD)、溅射或使用金属的其他沉积工艺可应用金属层沉积。随后,除了在凹槽中的金属层,可从导热基座移除金属层。此举可通过以下方法执行,例如使用光刻(lithography)界定蚀刻区域,且随后蚀刻除凹槽内部之外的金属层。
在方块520处,凹槽由所述介电材料(或不同的介电材料)填充。此步骤可包括在导热基座的上表面上方以及凹槽中沉积介电材料。在沉积介电材料后,可研磨或抛光所覆盖的导热基座的表面,以移除所述介电材料。此举可导致所述导热基座的大致平坦的上表面,同时凹槽由所述介电材料完全填充。
在方块525处,在导热基座的上表面的上方沉积一金属层。通过等离子体喷雾、PVD、溅射等可将金属层沉积应用于金属上。
在步骤530处,在导热基座的上表面的上方沉积一介电层,以覆盖所述金属层。所述介电层可为抗等离子体陶瓷,诸如前述的彼等抗等离子体陶瓷。通过等离子体喷雾、溶胶-凝胶、气溶胶沉积,物理气相沉积(PVD)或化学气相沉积(CVD)工艺可应用所述介电层沉积。另外,可执行两个或两个以上此等工艺的组合,以形成所述介电层。沉积的介电层可覆盖所述导热基座的上表面以及所述导热基座的侧壁。在一实施例中,在沉积后将所述介电层抛光/研磨至指定厚度(例如使用化学机械研磨(CMP)或其他抛光技术)。所述介电层的最终厚度可为例如10-20密耳。
在方块530处,在所述介电层中形成特征。此步骤可包括在所述介电层的上表面上形成凸台(例如,通过珠粒喷击或食盐喷击)。此步骤亦可包括在所述介电层、所述金属层及/或所述导热基座中钻孔(例如,通过镭射钻孔)。随后所述孔中可形成塞。
在前述描述中阐述了许多特定细节,诸如特定系统、组件、方法等的实例,以便较好地了解本发明的若干实施例。然而,本领域技术人员将显而易见,本发明的至少一些实施例可在没有此等特定细节的情况下实施。在其他情况下,众所熟知的组件或方法将不再详细描述或存在于简单的方块图格式中,以免造成本发明不必要的模糊。因此,所阐述的特定细节仅为示例性。特定的实施可与此等示例性细节不同,且仍涵盖于本发明的范畴内。
本说明书全文中提及的“一实施例(one embodiment)”或“一实施例(anembodiment)”意谓所描述的与所述实施例有关的特定特征、结构或特性包括在至少一个实施例中。因此,此说明书全文的多个地方出现的用语“在一实施例中(inone embodiment)”或“在一实施例中(in an embodiment)”不必全部代表同一实施例。此外,用语“或”意欲意谓包含的“或”而非独占的“或”。当本文中使用术语“约(about)”或“约(approximately)”时,此意欲意谓所呈现的标称值精确度在±10%之内。
尽管本文以特定的顺序图示及描述所述方法的操作,但各方法的操作顺序可改变,以便可以倒序执行某些操作,或以便可与其他操作同时(至少部分)执行某些操作。在另一实施例中,不同操作的指令或子操作可为间歇性及/或交替方式。在一实施例中,多个金属接合操作作为单一步骤执行。
应了解,上述描述意欲说明,而非限制。在阅读及了解上述描述的基础上,许多其他实施例对于彼等本领域技术人员将变得显而易见。因此,本发明的范畴连同主张权利的权利要求的同等物的全部范畴应由有关附加权利要求决定。

Claims (15)

1.一种静电夹盘,所述静电夹盘包含:
导热基座;
数个加热元件,所述数个加热元件在所述导热基座中;
金属层,所述金属层覆盖所述导热基座的至少一部分,其中所述金属层使所述数个加热元件免受射频(radio frequency,RF)耦合,且用作所述静电夹盘的电极;以及
抗等离子体介电层,所述抗等离子体介电层覆盖所述金属层。
2.如权利要求1所述的静电夹盘,其中所述抗等离子体介电层包含陶瓷化合物,所述陶瓷化合物包含Y4Al2O9及Y2O3-ZrO2的固溶体。
3.如权利要求1所述的静电夹盘,其中所述数个加热元件包含嵌入所述导热基座的数个主要加热元件及安置于所述导热基座的上表面中所形成的凹槽中的数个辅助加热元件。
4.如权利要求3所述的静电夹盘,其中所述数个辅助加热元件包裹在介电材料中,所述介电材料填充所述数个凹槽,且其中所述金属层覆盖所述介电材料。
5.如权利要求1所述的静电夹盘,其中所述金属层覆盖所述导热基座的上表面及侧壁,且其中所述导热基座包含金属。
6.如权利要求1所述的静电夹盘,其中所述金属层用作夹持电极及射频电极两者。
7.如权利要求1所述的静电夹盘,其中所述金属层具有约为20-50密耳的厚度,且所述抗等离子体介电层具有约为10-20密耳的厚度。
8.如权利要求1所述的静电夹盘,其中在处理期间,所述数个加热元件使所述静电夹盘维持所支撑基板上小于0.5摄氏度的温度变化。
9.一种制造静电夹盘的方法,所述方法包含以下步骤:
提供导热基座;
在所述导热基座的上表面中形成数个凹槽;
在所述数个凹槽中形成数个辅助加热元件;
使用介电材料填充所述数个凹槽;
在所述导热基座的所述上表面的上方沉积金属层,其中所述金属层使所述数个辅助加热元件免受射频(radio frequency,RF)耦合,且用作所述静电夹盘的电极;以及
使用抗等离子体介电层覆盖所述金属层。
10.如权利要求9所述的方法,所述方法进一步包含以下步骤:
在所述数个凹槽中形成所述数个辅助加热元件之前,在所述数个凹槽内部沉积所述介电材料的一层,其中所述介电材料使所述数个辅助加热元件与所述导热基座电气隔离。
11.如权利要求10所述的方法,其中形成所述数个辅助加热元件的步骤包含在所述数个凹槽中的所述介电层上方沉积金属,其中所述金属为非射频加热金属。
12.如权利要求9所述的方法,其中沉积所述金属层的步骤包含使用等离子体喷雾工艺、一等离子体物理气相沉积工艺或溅射工艺沉积钼、钨或铝中的至少一者。
13.如权利要求9所述的方法,其中使用所述抗等离子体介电层覆盖所述金属层包含执行等离子体喷雾工艺、溶胶-凝胶工艺、气溶胶喷雾工艺或物理气相沉积(PVD)工艺。
14.如权利要求9所述的方法,其中所述抗等离子体介电层包含钇主陶瓷。
15.如权利要求14所述的方法,其中所述钇主陶瓷包含陶瓷化合物,所述陶瓷化合物包含Y4Al2O9及Y2O3-ZrO2的固溶体。
CN201380064662.9A 2012-12-21 2013-12-11 单体静电夹盘 Active CN104854693B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201711062566.7A CN107833850A (zh) 2012-12-21 2013-12-11 静电夹盘及其制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/725,449 2012-12-21
US13/725,449 US8941969B2 (en) 2012-12-21 2012-12-21 Single-body electrostatic chuck
PCT/US2013/074494 WO2014099559A1 (en) 2012-12-21 2013-12-11 Single-body electrostatic chuck

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201711062566.7A Division CN107833850A (zh) 2012-12-21 2013-12-11 静电夹盘及其制造方法

Publications (2)

Publication Number Publication Date
CN104854693A true CN104854693A (zh) 2015-08-19
CN104854693B CN104854693B (zh) 2017-11-24

Family

ID=50974369

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380064662.9A Active CN104854693B (zh) 2012-12-21 2013-12-11 单体静电夹盘
CN201711062566.7A Pending CN107833850A (zh) 2012-12-21 2013-12-11 静电夹盘及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201711062566.7A Pending CN107833850A (zh) 2012-12-21 2013-12-11 静电夹盘及其制造方法

Country Status (6)

Country Link
US (1) US8941969B2 (zh)
JP (2) JP6327755B2 (zh)
KR (1) KR102183029B1 (zh)
CN (2) CN104854693B (zh)
TW (1) TWI511232B (zh)
WO (1) WO2014099559A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105481361A (zh) * 2015-12-30 2016-04-13 中国工程物理研究院化工材料研究所 Yam低介微波介质陶瓷及其制备方法
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
CN110226222A (zh) * 2017-01-20 2019-09-10 应用材料公司 具有射频隔离式加热器的静电吸盘
US10475623B2 (en) 2015-07-27 2019-11-12 Lam Research Corporation Ceramic layer for electrostatic chuck including embedded faraday cage for RF delivery and associated methods
CN110620074A (zh) * 2018-06-19 2019-12-27 北京北方华创微电子装备有限公司 基座组件及反应腔室

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) * 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11823940B2 (en) 2016-06-01 2023-11-21 Applied Matierals, Inc. Electrostatic chuck and manufacturing method therefor
JP6830030B2 (ja) 2017-04-27 2021-02-17 新光電気工業株式会社 静電チャック及び基板固定装置
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN113439330A (zh) 2019-02-12 2021-09-24 朗姆研究公司 具有陶瓷单体的静电卡盘
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks
JP7370741B2 (ja) * 2019-06-25 2023-10-30 株式会社栗本鐵工所 材料の移送装置
KR20210044074A (ko) 2019-10-14 2021-04-22 세메스 주식회사 정전 척과 이를 구비하는 기판 처리 시스템 및 정전 척의 제조 방법
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
CN1538238A (zh) * 2003-04-16 2004-10-20 Ф�ز������쳧 用于euv光刻的掩模版及其制作方法
CN1736831A (zh) * 2004-02-28 2006-02-22 应用材料有限公司 在电子装置制造设施内传送基片载体的方法和装置
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
CN101437981A (zh) * 2004-12-21 2009-05-20 应用材料股份有限公司 用于消除来自化学蒸汽刻蚀腔的副产品沉积的原位腔清洁制程

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
JP2638649B2 (ja) * 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
JPH07106319A (ja) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Cvd反応炉の加熱装置の電磁遮蔽方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
KR100471728B1 (ko) * 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2001077185A (ja) * 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
ATE491825T1 (de) 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
IL152516A0 (en) 2000-05-02 2003-05-29 Univ Johns Hopkins Freestanding reactive multilayer foils
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030073085A1 (en) * 2001-10-05 2003-04-17 Fang Lai Amplifying expressed sequences from genomic DNA of higher-order eukaryotic organisms for DNA arrays
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20050121913A (ko) * 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
JP4806179B2 (ja) * 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008042137A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd 静電チャック装置
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5080954B2 (ja) * 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012057987A2 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Deposition ring and electrostatic chuck for physical vapor deposition chamber
US9082804B2 (en) * 2011-02-07 2015-07-14 Varian Semiconductor Equipment Associates, Inc. Triboelectric charge controlled electrostatic clamp
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
CN1538238A (zh) * 2003-04-16 2004-10-20 Ф�ز������쳧 用于euv光刻的掩模版及其制作方法
CN1736831A (zh) * 2004-02-28 2006-02-22 应用材料有限公司 在电子装置制造设施内传送基片载体的方法和装置
CN101437981A (zh) * 2004-12-21 2009-05-20 应用材料股份有限公司 用于消除来自化学蒸汽刻蚀腔的副产品沉积的原位腔清洁制程
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475623B2 (en) 2015-07-27 2019-11-12 Lam Research Corporation Ceramic layer for electrostatic chuck including embedded faraday cage for RF delivery and associated methods
TWI693623B (zh) * 2015-07-27 2020-05-11 美商蘭姆研究公司 靜電夾頭、電漿製程系統、在電漿製程操作中判斷rf電流傳輸的方法及靜電夾頭的製造方法
TWI741551B (zh) * 2015-07-27 2021-10-01 美商蘭姆研究公司 靜電夾頭、電漿製程系統、rf訊號的導引方法及靜電夾頭的製造方法
CN105481361A (zh) * 2015-12-30 2016-04-13 中国工程物理研究院化工材料研究所 Yam低介微波介质陶瓷及其制备方法
CN110226222A (zh) * 2017-01-20 2019-09-10 应用材料公司 具有射频隔离式加热器的静电吸盘
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
CN110620074A (zh) * 2018-06-19 2019-12-27 北京北方华创微电子装备有限公司 基座组件及反应腔室

Also Published As

Publication number Publication date
US8941969B2 (en) 2015-01-27
CN104854693B (zh) 2017-11-24
KR102183029B1 (ko) 2020-11-25
JP6660658B2 (ja) 2020-03-11
TWI511232B (zh) 2015-12-01
JP2016503962A (ja) 2016-02-08
KR20150099796A (ko) 2015-09-01
CN107833850A (zh) 2018-03-23
JP6327755B2 (ja) 2018-05-23
US20140177123A1 (en) 2014-06-26
JP2018142714A (ja) 2018-09-13
WO2014099559A1 (en) 2014-06-26
TW201430994A (zh) 2014-08-01

Similar Documents

Publication Publication Date Title
CN104854693A (zh) 单体静电夹盘
JP6470807B2 (ja) 最小限のクロストークで熱的に分離されたゾーンを有する静電チャック
TWI795981B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
KR102557349B1 (ko) 처리 챔버의 내부식성 접지 차폐부
JP2018048065A (ja) 希土類酸化物系モノリシックチャンバ材料
US20140203526A1 (en) Temperature management of aluminium nitride electrostatic chuck
CN115527914A (zh) 具有沉积表面特征结构的基板支撑组件
TW201933529A (zh) 用於高溫處理之靜電吸座組件
TW201642385A (zh) 用於高溫處理之具有金屬結合背板的靜電定位盤組件
US10177014B2 (en) Thermal radiation barrier for substrate processing chamber components
CN106575612A (zh) 利用固体二氧化碳颗粒的腔室部件清洁
US9975320B2 (en) Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
KR101228056B1 (ko) 세라믹 코팅 금속 서셉터 및 그 제조방법
CN101418436A (zh) 氮化铝或氧化铍的陶瓷覆盖晶片

Legal Events

Date Code Title Description
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant