KR20150099796A - 단일-본체 정전 척 - Google Patents

단일-본체 정전 척 Download PDF

Info

Publication number
KR20150099796A
KR20150099796A KR1020157019435A KR20157019435A KR20150099796A KR 20150099796 A KR20150099796 A KR 20150099796A KR 1020157019435 A KR1020157019435 A KR 1020157019435A KR 20157019435 A KR20157019435 A KR 20157019435A KR 20150099796 A KR20150099796 A KR 20150099796A
Authority
KR
South Korea
Prior art keywords
electrostatic chuck
thermally conductive
heating elements
conductive base
metal layer
Prior art date
Application number
KR1020157019435A
Other languages
English (en)
Other versions
KR102183029B1 (ko
Inventor
센 타치
드미트리 루보미르스키
제니퍼 와이. 선
콘스탄틴 마크라트체브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150099796A publication Critical patent/KR20150099796A/ko
Application granted granted Critical
Publication of KR102183029B1 publication Critical patent/KR102183029B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Manipulator (AREA)

Abstract

정전 척은 열 전도성 베이스를 포함하고, 열 전도성 베이스는, 열 전도성 베이스 내에 배치된 복수의 가열 엘리먼트들을 갖는다. 금속 층은 열 전도성 베이스의 적어도 부분을 덮고, 금속 층은, 무선 주파수(RF) 커플링으로부터 복수의 가열 엘리먼트들을 차폐하고 그리고 정전 척에 대한 전극으로서 기능한다. 내플라즈마성 유전체 층이 금속 층을 덮는다.

Description

단일-본체 정전 척{SINGLE-BODY ELECTROSTATIC CHUCK}
[0001] 본 발명의 실시예들은, 일반적으로, 정전 척에 관한 것이다.
[0002] 반도체 산업에서, 디바이스들은, 계속-감소하는(ever-decreasing) 크기의 구조들을 생산하는 다수의 제조 프로세스들에 의해서 제조된다. 플라즈마 에칭 및 플라즈마 세정 프로세스들과 같은 몇몇 제조 프로세스들은, 기판을 에칭 또는 세정하기 위해, 플라즈마의 고속 스트림에 기판 지지부를 노출시킨다(예를 들어, 웨이퍼 프로세싱 동안에 기판 지지부의 엣지, 및 챔버 세정 동안에 전체의 기판 지지부). 플라즈마는 고도로 부식성일 수 있고, 프로세싱 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다.
[0003] 부가적으로, 전통적인 정전 척들은, 금속 냉각 플레이트에 본딩된 세라믹 퍽 규소 수지(ceramic puck silicone)를 포함한다. 그러한 전통적인 정전 척들에서의 세라믹 퍽은, 내장형(embedded) 전극 및 가열 엘리먼트들을 형성하는 데에 고비용(costly)일 수 있는 다수-단계의 제조 프로세스에 의해 제조된다.
[0004] 일 실시예에서, 정전 척은 열 전도성 베이스를 포함하고, 열 전도성 베이스는 복수의 가열 엘리먼트들을 열 전도성 베이스 내에 갖는다. 금속 층은 열 전도성 베이스의 적어도 부분을 덮고, 금속 층은, 무선 주파수(RF) 커플링으로부터 복수의 가열 엘리먼트들을 차폐(shield)하고 그리고 정전 척에 대해 전극으로서 기능한다. 내플라즈마성(plasma resistant) 유전체 층이 금속 층을 덮는다.
[0005] 본 발명은, 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서, 예로서 예시되며, 제한으로서 예시되지 않는다. 본 개시물에서 "단수 형태의(an 또는 one)" 실시예에 대한 상이한 참조들이 반드시 동일한 실시예에 대한 것은 아니고, 그러한 참조들은 적어도 하나를 의미함이 주목되어야 한다.
[0006] 도 1은 프로세싱 챔버의 일 실시예의 단면도를 도시한다;
[0007] 도 2는 기판 지지 조립체의 일 실시예의 분해도를 도시한다;
[0008] 도 3은 정전 척의 일 실시예의 측면도를 도시한다;
[0009] 도 4는 정전 척의 보조 가열 엘리먼트의 일 실시예의 분해 측면도를 도시한다; 그리고
[0010] 도 5는 정전 척을 제조하는 프로세스의 일 실시예를 예시한다.
[0011] 본 발명의 실시예들은 단일-본체 정전 척을 제공한다. 단일-본체 정전 척은, 일련의 코팅들 및 내부 컴포넌트들의 집합(collection)을 갖는 열 전도성 베이스를 포함한다. 코팅들은, 클램핑 전극 및/또는 무선 주파수(RF) 전극으로서 기능할 수 있는 금속 층 코팅 및 내플라즈마성 세라믹인 유전체 층 코팅을 포함할 수 있다. 하나 또는 그 초과의 리세스들이 열 전도성 베이스의 상부 표면 상에 형성될 수 있다. 이러한 리세스들은 보조 가열 엘리먼트들을 포함할 수 있고, 보조 가열 엘리먼트들은, 지지된 기판들(예를 들어, 척킹된 웨이퍼들)에 걸쳐 온도 균일성을 유지하기 위해, 국부화된(localized) 가열을 제공할 수 있다. 금속 층은 보조 가열 엘리먼트들을 덮을 수 있고, 그리고 보조 가열 엘리먼트들을 RF 커플링으로부터 보호하는 RF 차폐를 제공할 수 있다.
[0012] 전통적인 정전 척들과 다르게, 단일-본체 정전 척은 (전통적으로, 전극 및 가열 엘리먼트들을 포함하는) 정전 퍽이 없을 수 있다(lack). 결과적으로, 단일-본체 정전 척의 실시예들은, 생산하는 데에 있어서 전통적인 정전 척들보다 더 저렴할 수 있다. 부가적으로, 단일-본체 정전 척의 실시예들은, 전통적인 정전 척들과 비교하여 개선된 온도 균일성을 제공할 수 있고, 그리고 전통적인 정전 척들과 비교하여 개선된 내플라즈마성을 가질 수 있다. 게다가, 실시예들은, 온도를 신속하게 조절할 수 있는 정전 척을 제공한다. 정전 척 및 지지되는 기판은 급속으로 가열되거나 냉각될 수 있는데, 몇몇 실시예들은 2℃/s 또는 그보다 더 빠른 온도 변화들을 가능하게 한다. 이는, 예를 들어, 웨이퍼가 20-30℃에서 프로세싱될 수 있고, 그 후, 추가적인 프로세싱을 위해 80-90℃으로 신속하게 램프업되는(ramped up) 다수-단계의 프로세스들에서 정전 척이 사용될 수 있게 한다. 본원에서 설명되는 실시예들은, 쿨롬(Columbic) 정전 척킹 어플리케이션들 및 존슨 레이벡(Johnson Raybek) 척킹 어플리케이션들 양자 모두를 위해 사용될 수 있다.
[0013] 도 1은, 내부에 배치된 기판 지지 조립체(148)를 갖는 반도체 프로세싱 챔버(100)의 일 실시예의 단면도이다. 프로세싱 챔버(100)는 내부 용적(106)을 에워싸는, 챔버 본체(102) 및 덮개(104)를 포함한다. 챔버 본체(102)는 알루미늄, 스테인리스 스틸 또는 다른 적합한 물질로 제조될 수 있다. 챔버 본체(102)는 일반적으로, 측벽들(108) 및 바닥부(110)를 포함한다. 외측 라이너(116)가 챔버 본체(102)를 보호하기 위해, 측벽들(108)에 인접하여 배치될 수 있다. 외측 라이너(116)는, 내플라즈마성 또는 할로겐-함유 가스 저항성 물질로 제조 및/또는 코팅될 수 있다. 일 실시예에서, 외측 라이너(116)는 알루미늄 산화물로 제조된다. 다른 실시예에서, 외측 라이너(116)는 산화 이트륨(yttria), 이트륨 합금 또는 이들의 산화물로 제조되거나 코팅된다.
[0014] 배기 포트(126)는 챔버 본체(102)에서 정의될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 커플링시킬 수 있다. 펌프 시스템(128)은, 프로세싱 챔버(100)의 내부 용적(106)을 진공배기(evacuate)하고 그의 압력을 조절하는 데에 활용되는 하나 또는 그 초과의 펌프들 및 스로틀 밸브들을 포함할 수 있다.
[0015] 덮개(104)는 챔버 본체(102)의 측벽(108) 상에 지지될 수 있다. 덮개(104)는 프로세싱 챔버(100)의 내부 용적(106)에 대한 액세스를 허용하도록 개방될 수 있고, 폐쇄 동안 프로세싱 챔버(100)를 위한 밀봉을 제공할 수 있다. 가스 패널(158)은, 덮개(104)의 일부인 가스 분배 조립체(130)를 통해 내부 용적(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 프로세싱 챔버(100)에 커플링될 수 있다. 특히, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, Cl2 및 SiF4와 같은 할로겐-함유 가스, 및 O2 또는 N2O와 같은 다른 가스들을 포함하는 프로세싱 가스들의 예들은, 프로세싱 챔버에서 프로세싱하는 데에 사용될 수 있다. 캐리어 가스들의 예들은, N2, He, Ar, 및 프로세스 가스들에 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 가스 분배 조립체(130)는, 가스 유동을 기판(144)의 표면으로 지향시키기 위해, 가스 분배 조립체(130)의 하류 표면 상에 다수의 개구들(apertures)(132)을 가질 수 있다. 부가적으로, 가스 분배 조립체(130)는, 가스들이 세라믹 가스 노즐을 통해서 피딩되는 중앙 홀을 가질 수 있다. 가스 분배 조립체(130)는, 가스 분배 조립체(130)를 부식으로부터 방지하기 위해 할로겐-함유 케미스트리들(chemistries)에 대한 내성을 제공하도록, 세라믹 물질, 예컨대, 실리콘 탄화물, 이트륨 산화물, 등에 의해 제조되고 그리고/또는 코팅될 수 있다.
[0016] 기판 지지 조립체(148)는 가스 분배 조립체(130) 아래의, 프로세싱 챔버(100)의 내부 용적(106)에 배치된다. 기판 지지 조립체(148)는 프로세싱 동안 기판(144)(예를 들어, 웨이퍼)을 유지한다. 내측 라이너(118)는 기판 지지 조립체(148)의 둘레(periphery) 상에 코팅될 수 있다. 내측 라이너(118)는, 외측 라이너(116)에 관하여 논의된 물질들과 같은, 할로겐-함유 가스 저항성 물질일 수 있다. 일 실시예에서, 내측 라이너(118)는 외측 라이너(116)와 동일한 물질들로 제조될 수 있다.
[0017] 일 실시예에서, 기판 지지 조립체(148)는, 페데스탈(152)을 지지하는 장착 플레이트(162), 및 정전 척(150)을 포함한다. 석영 링(146) 또는 다른 보호성 링이 정전 척(150)의 부분들을 둘러싸고 덮는다. 정전 척(150)은, 다수의 내부 피쳐들(features) 및 다수의 코팅들을 갖는 열 전도성 베이스(164)를 포함한다. 일 실시예에서, 열 전도성 베이스(164)는, 지지 조립체(148)의 측방향(lateral) 온도 프로파일을 제어하기 위한 하나 또는 그 초과의 내장형 가열 엘리먼트들(176), 내장형 단열체들(thermal isolators)(174) 및/또는 도관들(168)을 포함하는 금속 본체(예를 들어, 알루미늄)이다. 도관들(168)은 유체 소스(172)에 유체적으로 커플링될 수 있고, 유체 소스(172)는 도관들(168)을 통해 온도 조절 유체를 순환시킨다. 일 실시예에서, 내장형 단열체들(174)은 도관들(168) 사이에 배치될 수 있다. 가열 엘리먼트들(176)은 가열기 전력 소스(178)에 의해 조절된다. 도관들(168) 및 가열 엘리먼트들(176)은 열 전도성 베이스(164)의 온도를 제어하는 데에 활용될 수 있고, 이에 의해, 정전 척(150) 및 프로세싱되는 기판(예를 들어, 웨이퍼)(144)을 가열 및/또는 냉각시킬 수 있다. 열 전도성 베이스(164)의 온도는, 복수의 온도 센서들을 사용하여 모니터링될 수 있고, 복수의 온도 센서들은 제어기(195)를 사용하여 모니터링될 수 있다.
[0018] 가열 엘리먼트들(176)에 부가하여, 열 전도성 베이스(164)는 하나 또는 그 초과의 보조 가열 엘리먼트들(170)을 포함할 수 있다. 보조 가열 엘리먼트들(170)은, 기판(144) 및/또는 열 전도성 베이스(164)의 타겟팅된 영역들에 부가적인 열을 적용할 수 있는 국부화된 가열기 또는 부스터(booster) 가열기들일 수 있다. 가열 엘리먼트들(176) 및 보조 가열 엘리먼트들(170)을 함께 사용함으로써, 정전 척(150)은 기판(144)에 걸친 높은 온도 균일성을 유지할 수 있다(예를 들어, 0.5도씨 이내로).
[0019] 금속 본체(164)의 상부 표면은 금속 층(180)에 의해 덮힌다. 금속 층(180)은 또한, 금속 본체(164)의 하나 또는 그 초과의 측부들(sides)을 덮을 수 있다. 금속 층(180)은 척킹 전력 소스(182)에 커플링될 수 있고, 기판(144)을 정전 척(150)에 클램핑하기 위한 클램핑 전극으로서 기능할 수 있다. 척킹 전력 소스는 직류(DC) 전압을 인가할 수 있고, 직류 전압은, 금속 층(180)에 인가되기 전에, 로우 패스 필터를 통해 필터링될 수 있다.
[0020] 금속 층(180)은, 프로세싱 챔버(100) 내에서 프로세스 가스 및/또는 다른 가스들로부터 형성된 플라즈마를 유지하기 위해, 매칭 회로(matching circuit; 188)를 통해 하나 또는 그 초과의 RF 전력 소스들(184, 186)에 추가로 커플링될 수 있다. 일 실시예에서, 금속 층(180)의 매칭 회로(188)로의 커플링은 동축 전달선(coax delivery line)(예를 들어, 동축 튜브)를 통한다. 동축 전달선은, 금속 튜브에 매입되고(encased), 다른 절연 튜브에 매입된, 절연 튜브를 포함할 수 있다. 대안적으로, 동축 전달선은, 내부와 외부가 절연 층(예를 들어, 유전체 물질)으로 코팅된 금속 튜브를 포함할 수 있다. 동축 전달선을 통해 금속 층(180)에 전달되는 RF 전력은 금속 튜브의 외부를 걸쳐 인가될 수 있다. (예를 들어, 제어기(195), 가열기 전력 소스(178), 가열 엘리먼트들(168), 보조 가열 엘리먼트들(170), 등으로의) 나머지 제어 와이어들이 동축 전달선의 내부를 통해 연장된다(run through). 따라서, 제어 와이어들은, 금속 튜브에 의해, RF 커플링으로부터 차폐된다.
[0021] 하나 또는 그 초과의 DC-차단(blocking) 패캐시터들이 매칭 회로(188)와 금속 층(180) 사이에 개재될 수 있다(interposed). 소스들(184, 186)은 일반적으로, 약 50kHz 내지 약 3GHz의 주파수 및 약 10,000와트까지의 전력을 갖는 RF 신호를 생성할 수 있다. 일 실시예에서, RF 신호가 금속 층(180)에 인가되고, 교류(AC)는 가열기에 인가되며, 직류(DC)는 또한, 금속 층(180)에 인가된다. 대안적으로, 개별 RF 전극이 열 전도성 베이스(164)에 내장될 수 있고, 그리고 매칭 회로(188)가 개별 RF 전극에 커플링될 수 있다.
[0022] 금속 층(180)은, 내플라즈마성일 수 있는 유전체 층(136)에 의해 코팅된다. 일 실시예에서, 유전체 층(136)은 금속 본체(164)의 상부 표면 상에서 금속 층(180) 위에 배치된다. 다른 실시예에서, 유전체 층(136)은 금속 본체(164)의 측부들로 연장되고, 또한, 측부들 상의 금속 층(180)을 덮는다.
[0023] 유전체 층(136)은, 증착될 수 있거나, 스프레잉될 수 있거나(sprayed), 또는, Y2O3(산화 이트륨(yttria) 또는 이트륨 산화물), Y4Al2O9(YAM), Al2O3(알루미나), Y3Al5O12(YAG), YAlO3(YAP), 석영, SiC(실리콘 탄화물), Si3N4(실리콘 질화물), 사이알론(Sialon), AlN(알루미늄 질화물), AlON(알루미늄 산질화물), TiO2(티타니아), ZrO2(지르코니아), TiC(티타늄 탄화물), ZrC(지르코늄 탄화물), TiN(티타늄 질화물), TiCN(티타늄 탄소 질화물), Y2O3 안정화된(stabilized) ZrO2(YSZ), 기타 등등과 같은 성장된 세라믹(grown ceramic)일 수 있다. 유전체 층(136)은 또한, Al2O3 매트릭스에서 분배된(distributed) Y3Al5O12, Y2O3-ZrO2 고용체(solid solution) 또는 SiC-Si3N4 고용체와 같은 세라믹 복합체(ceramic composite)일 수 있다. 유전체 층(136)은 또한, 이트륨 산화물(또한 yttria 및 Y2O3로 공지됨) 함유 고용체를 포함하는 세라믹 복합체일 수 있다. 예를 들어, 유전체 층(136)은, 화합물 Y4Al2O9(YAM) 및 고용체 Y2- xZrxO3(Y2O3-ZrO2 고용체)로 구성되는 고성능 물질(HPM)일 수 있다. 순수 이트륨 산화물뿐만 아니라 이트륨 산화물 함유 고용체들이, ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 또는 그 초과로 도핑될 수 있다는 점을 유의한다. 또한, 순수 알루미늄 질화물뿐만 아니라, ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 또는 그 초과로 도핑된 알루미늄 질화물이 사용될 수 있다는 점을 유의한다. 대안적으로, 유전체 층은 사파이어 또는 MgAlON일 수 있다.
[0024] 일 실시예에서, 유전체 층은 Y2O3 파우더, ZrO2 파우더, 및 Al2O3 파우더의 혼합물로부터 생성된 HPM 세라믹 복합체이다. 일 실시예에서, HPM 세라믹 복합체는 77% Y2O3, 15% ZrO2, 및 8% Al2O3 를 포함한다. 다른 실시예에서, HPM 세라믹 복합체는 63% Y2O3, 23% ZrO2, 및 14% Al2O3 를 포함한다. 또 다른 실시예에서, HPM 세라믹 복합체는 55% Y2O3, 20% ZrO2, 및 25% Al2O3 를 포함한다. 상대적인 비율은 몰비(molar ratios)로 이루어질 수 있다. 예를 들어, HPM 세라믹 복합체는 77몰% Y2O3, 15몰% ZrO2, 및 8몰% Al2O3 를 포함할 수 있다. 이러한 세라믹 파우더들의 다른 분포들은 또한, HPM 물질을 위해 사용될 수 있다.
[0025] 절연체(예를 들어, 유전체 물질)인 장착 플레이트(162)는 챔버 본체(102)의 바닥부(110)에 부착되고, 유틸리티들(utilities)(예를 들어, 유체들, 전력선들, 센서 리드들(leads), 등)을 열 전도성 베이스(164)로 라우팅(routing)하기 위한 통로들을 포함한다. 유전체 층(136)은, 유전체 층의 상부 표면에 형성될 수 있는, 그루브들, 메사들(mesas), 및 다른 표면 피쳐들과 같은 다수의 가스 통로들을 더 포함할 수 있다. 가스 통로들은, 열 전도성 베이스(164), 금속 층(180) 및 유전체 층(136)에 드릴 가공된(drilled) 홀들(도시되지 않음)을 통해, He와 같은 열 전달(또는 후면) 가스의 소스에 유체적으로 커플링될 수 있다. 이러한 홀들은 세라믹 플러그들(plugs)로 충전될(filled) 수 있다. 세라믹 플러그들은 다공성일 수 있고, 헬륨의 유동을 허용할 수 있다. 그러나, 세라믹 플러그들은 유동되는 플라즈마의 아킹(arcing)을 방지할 수 있다. 작동 시에, 정전 척(150)과 기판(144) 사이의 열 전달을 강화하기 위해, 후면 가스는, 제어된 압력으로, 가스 통로들 내로 제공될 수 있다.
[0026] 도 2는 기판 지지 조립체(148)의 일 실시예의 분해도를 도시한다. 기판 지지 조립체(148)는 정전 척(150) 및 페데스탈(152)의 분해도를 도시한다. 정전 척(150)은, 금속 층(도시되지 않음) 및 유전체 층(136)으로 덮힌 열 전도성 베이스(164)를 포함한다. 열 전도성 베이스(164)는, 베이스 상부에 포지셔닝되는 기판(144)의 형상 및 크기와 실질적으로 매칭될 수 있는 환형 둘레(222)를 갖는 디스크-형 형상을 갖는다. 일 실시예에서, 열 전도성 베이스(164)는, 알루미늄, 스테인리스 스틸, 또는 다른 적합한 물질들과 같은 금속에 의해 제조될 수 있다. 대안적인 실시예들에서, 열 전도성 베이스(164)는 열 전도성 세라믹일 수 있다. 예를 들어, 열 전도성 베이스(164)는, 알루미늄-실리콘 합금이 침윤된(infiltrated) SiC 또는 몰리브덴과 같은, 세라믹의 복합체에 의해 제조될 수 있다. 열 전도성 베이스(164)는 양호한 강도(strength) 및 내구성뿐만 아니라 열전달 특성들을 제공해야 한다. 유전체 층(136)의 상부 표면(206)은 외측 링(216), 다수의 메사들(210) 및 메사들 사이의 채널들(208, 212)을 가질 수 있다.
[0027] 도 3은 정전 척(150)의 측단면도를 예시한다. 도 3을 참조하면, 정전 척(150)의 열 전도성 베이스(164)는, 열 전도성 베이스(164) 및 기판(144)에 걸친 상대적으로 균일한 온도를 유지하기 위해, 다수의 가열 엘리먼트들(176), 하나 또는 그 초과의 열 배리어들(174), 및 도관들(168)을 포함한다. 열 전도성 베이스(164)를 가열하기 위해, 가열 엘리먼트들(176)에 전압이 인가될 수 있고, 온도 제어를 위해, 도관들을 통해, 가열된 또는 냉각된 액체들이 유동될 수 있다. 열 전도성 베이스(164)는, 열 배리어들(174)을 사용하여, 다수의 단열된 구역들로 분할될 수 있다. 일 실시예에서, 열 배리어들(174)은 공기 갭들이다. 대안적으로, 열 배리어들(174)은, 규소 수지 또는 유리와 같은, 열악한(poor) 열 전도체인 물질을 포함할 수 있다.
[0028] 일 실시예에서, 가열 엘리먼트들(176)은 유전체 물질(310)에 의해 열 전도성 베이스(164)로부터 전기적으로 절연된다. 일 실시예에서, 가열 엘리먼트들(176)은, 리세스들을 금속 본체(164)의 하부 표면에 형성함으로써 형성된다. 리세스들은 유전체 물질(310)로 부분적으로 충전될 수 있고, 그렇게 한 이후에, 가열 엘리먼트들(176)이 리세스들에 위치되거나 형성될 수 있다. 가열 엘리먼트들(176)은 텅스텐, 알루미늄, 또는 몰리브덴과 같은 증착된 금속들일 수 있다. 대안적으로, 가열 엘리먼트들(176)은 리세스들에 위치될 수 있는 금속 와이어들 또는 트레이스들(traces)일 수 있다. 그 후, 리세스들은 유전체 물질(310)로 충전될 수 있다.
[0029] 열 전도성 베이스(164)는, 가열 엘리먼트들(176)에 부가하여 하나 또는 그 초과의 보조 가열 엘리먼트들(170)을 포함할 수 있고, 이들 모두는 저항형 가열 엘리먼트들일 수 있다. 보조 가열 엘리먼트들은 가열 엘리먼트들(176)보다 낮은 전력일 수 있고, 지지되는 기판(144)의 타겟팅된 지역의 온도를 조정하는 데에 사용될 수 있다. 도시된 바와 같이, 보조 가열 엘리먼트들(170)은, 열 전도성 베이스(164)의 상부 표면의 리세스들(315) 내에 상대적으로 정상부 표면에 근접하여서 로케이팅된다. 따라서, 가열 엘리먼트들(176) 및 보조 가열 엘리먼트들(170)은 열 전도성 베이스(164) 내에서 상이한 평면들 상에 놓일 수 있다. 리세스들(315)은 에칭 또는 기계 가공(machining) 프로세스들에 의해 형성될 수 있다. 리세스들(315)이 형성된 이후, 유전체 물질이 증착될 수 있고, 보조 가열 엘리먼트들(170)의 증착이 후속된다. 유전체 물질은, 예를 들어, 알루미늄 산화물, 이트륨 산화물, 마그네슘 산화물, 또는 다른 유전체 물질들일 수 있다. 보조 가열 엘리먼트들은 증착된 금속일 수 있다. 보조 가열 엘리먼트들을 위해 사용된 금속은 바람직하게, 낮은 열 팽창 계수를 갖는 비-RF가열 금속이다. 보조 가열 엘리먼트들(170)을 위해 사용될 수 있는 금속들의 예들은, 몰리브덴, 알루미늄 및 텅스텐을 포함한다. 보조 가열 엘리먼트들(170)은 동심원들 또는 비-동심원들의 형상들, 국부화된 타원 형상들, 또는 다른 형상들을 가질 수 있다. 국부화된 가열 엘리먼트들의 양과 위치는, 온도 균일성을 위한 온도 미세 튜닝을 용이하게 하기 위해, 전략적으로 선택될 수 있다.
[0030] 금속 층(180)은, 금속 본체(164)의 상부 표면 위에 뿐만 아니라 보조 가열 엘리먼트들(170) 위에도 형성된다. 일 실시예에서, 금속 층은 열 전도성 베이스(164)의 상부 표면 및 측벽들을 덮는다. 부가적으로, 금속 층은 열 전도성 베이스(164)의 하부 표면의 부분을 덮을 수 있다. 열 전도성 베이스(164)가 또한 전기적으로 전도성인(예를 들어, 금속) 실시예들에서, 금속 층(180) 및 베이스(164)는 보조 가열 엘리먼트들(170) 주위에 RF 엔벨로프(envelope) 또는 RF 박스를 형성한다. 이는, 보조 가열 엘리먼트들(170)을 RF 커플링으로부터 차폐할 수 있다. 그러한 RF 커플링은, 방지되지 않는 경우, 보조 가열 엘리먼트들이 제어 가능하지 않게 가열되는 것을 야기할 수 있고, 정전 척(150) 및/또는 기판(144)에 대해 핫 스폿들(hot spots) 및/또는 손상을 야기할 수 있다.
[0031] 금속 층(180)은 열 전도성 베이스(164)의 바닥부 측부 상의 전도성 표면에 전기적으로 커플링될 수 있다. 열 전도성 베이스(164)가 금속인 경우, 금속 층(180)은 금속에 전기적으로 커플링될 수 있다. 금속 층(180)은, 전기 연결을 통한 클램핑을 위해 RF 신호 및/또는 DC 전압을 수신할 수 있다. 따라서, 금속 층(180)은 RF 전극 또는 클램핑 전극 중 하나 또는 양자 모두로서 작용할 수 있다. 일 실시예에서, 금속 층(180)은 대략 20-50mil의 두께를 갖는다. 그러나, 대안적인 실시예들에서, 금속 층은 더 두껍거나 더 얇을 수 있다.
[0032] 유전체 층(136)은 금속 층(180) 위에 형성된다. 유전체 층(136)의 두께는, 특정 파괴 전압(breakdown voltage)과 같은 원하는 유전체 특성들을 제공하도록 선택될 수 있다. 일 실시예에서, 정전 척이 쿨롬 모드에서 사용되는 경우, 유전체 층은 약 150미크론 내지 1mm의 두께(그리고 일 예시적인 실시예에서는 약 200-300미크론)를 갖는다. 정전 척이 존슨 레이벡 모드에서 사용되는 경우, 유전체 층은 대략 1mm 내지 약 1.5mm의 두께를 가질 수 있다.
[0033] 상기 언급된 바와 같이, 유전체 층(136)은 금속에 스프레잉되거나, 증착되거나, 스퍼터링되거나, 기타 등등될 수 있다. 일 실시예에서, 유전체 층은, 플라즈마 프로세싱 동안 (기판과 퍽 사이의 열 특성 미스매치로 인한 상대적인 운동에 기인한) 마모(wear)에 저항하는 높은 경도(hardness)를 갖는 HPM 세라믹 복합체이다. 일 실시예에서, HPM 세라믹 복합체는 약 5GPa 내지 약 11GPa의 비커스 경도(5Kgf)를 제공한다. 일 실시예에서, HPM 세라믹 복합체는 약 9-10GPa의 비커스 경도를 제공한다. 부가적으로, 일 실시예에서, HPM 세라믹 복합체는 대략 4.90g/㎤의 밀도, 약 215MPa의 굽힘 강도, 약 1.6MPa·m1/2의 파괴 인성, 약 190GPa의 영률, 약 8.5x10-6/K(20~900℃)의 열 팽창, 약 3.5W/mK의 열 전도성, (20℃ 13.56MHz에서 측정된) 약 15.5의 유전 상수, 약 11x10-4(20℃ 13.56MHz)의 유전체 손실 탄젠트, 및 상온에서 1015Ω·cm 초과의 체적 저항률을 가질 수 있다.
[0034] 다른 실시예에서, 유전체 층은 YAG이다. 다른 실시예에서, 유전체 층은 사파이어이다. 또 다른 실시예에서 ,유전체 층은 이트륨 알루미늄 산화물(YxAlyOz)이다.
[0035] 메사들(도시되지 않음)은 유전체 층(136)의 표면 상에 형성될 수 있고, 그리고 유전체 층(136), 금속 층(180) 및 열 전도성 베이스(164)는 헬륨의 유동을 위한 홀들(도시되지 않음)을 포함할 수 있다. 밀봉 밴드 및 헬륨 그루브들과 같은 다른 피쳐가 또한, 유전체 층(136)의 표면 상에 형성될 수 있다. 홀들, 메사들 및/또는 다른 피쳐들은, 유전체 층(136)이 열 전도성 베이스(164) 상에 형성된 이후에 형성될 수 있다. 일 실시예에서, 메사들은, 비드 블라스팅, 솔트 블라스팅(salt blasting) 또는, 유전체 층(136)의 표면을 다른 방식으로 조면화(roughening)하는 것에 의해 형성된다. 일 실시예에서, 홀들은 층들 및 열 전도성 베이스(164) 내로 레이저 드릴 가공된다.
[0036] 도 4는 정전 척(150)의 보조 가열 엘리먼트(170)의 일 실시예의 분해 측면도(400)를 도시한다. 보조 가열 엘리먼트(170)는 열 전도성 베이스(184)의 리세스에서, 유전체 물질(405)의 제 1 층 위에 형성된다. 그 후, 유전체 물질(405)의 하나 또는 그 초과의 부가적인 층들이 보조 가열 엘리먼트(170) 위에 형성된다. 유전체 물질(405)은, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 실리콘 탄화물(SiC), 산화 이트륨(Y2O3), 마그네슘 산화물(MgO) 등과 같은 증착된 세라믹일 수 있다. 대안적으로, 유전체 물질은, 다른 산화물들과 같은 다른 유전체 물질들일 수 있다. 보조 가열 엘리먼트(170) 위에 증착된 금속 층(180)은 보조 가열 엘리먼트(170)를 RF 커플링으로부터 차폐할 수 있다. 내플라즈마성 유전체 층(136)이 금속 층(180) 위에 배치된다. 내플라즈마성 유전체 층(136)은, 정전 척킹을 수행하기에 충분한 파괴 전압을 제공할 수 있고, 정전 척(150)을 플라즈마들에 의한 공격(attack)으로부터 보호할 수 있다.
[0037] 도 5는 정전 척을 제조하기 위한 프로세스(500)의 일 실시예를 예시한다. 프로세스(500)의 블록(505)에서, 열 전도성 베이스가 제공된다. 제공된 열 전도성 베이스는, 가열 엘리먼트들, 냉각 및/또는 가열을 위한 열 차단부들(breaks) 및/또는 내부 채널들과 같은 내부 컴포넌트들을 갖는 금속 디스크일 수 있다. 이러한 내부 엘리먼트들은, 예를 들어, 기계 가공, 에칭, 및 증착 프로세스들을 사용하여 금속 베이스에 형성될 수 있다. 예를 들어, 보조 가열 엘리먼트들과 관련하여 아래에서 설명되는 작동들은, 가열 엘리먼트들을 형성하기 위해, 열 전도성 베이스의 하부 표면 상에서 수행될 수 있다.
[0038] 블록(510)에서, 리세스들은 열 전도성 베이스의 상부 표면에 형성된다. 리세스들은 에칭 또는 기계 가공에 의해서 형성될 수 있다. 블록(512)에서, 유전체 물질의 층은 리세스들 내부에 증착된다. 일 실시예에서, 유전체 물질의 층은 열 전도성 베이스의 전체 상부 표면 위에 증착될 수 있다. 블록(515)에서, 보조 가열 엘리먼트들이, 리세스들에서, 유전체 물질 위에 형성된다. 이는, 유전체 물질의 층 위에 금속 층을 증착시킴으로써 수행될 수 있다. 금속 층 증착물(metal layer deposition)은, 플라즈마 스프레잉, 물리 기상 증착(PVD), 스퍼터링, 또는 금속들과 함께 사용되는 다른 증착 프로세스들에 의해 적용될 수 있다. 이후에, 금속 층은, 리세스들에서는 제외하고, 열 전도성 베이스로부터 제거될 수 있다. 이는, 예를 들어, 리소그래피를 사용하여 에칭할 지역들을 정의하고 그 후에 리세스들의 내부 이외의 금속 층을 에칭(etching away)하는 것에 의해, 수행될 수 있다.
[0039] 블록(520)에서, 리세스들은 유전체 물질(또는 상이한 유전체 물질)로 충전된다. 이는, 리세스들 내에 뿐만 아니라 열 전도성 베이스의 상부 표면 위에도 유전체 물질을 증착시키는 단계를 포함할 수 있다. 유전체 물질의 증착 이후에, 열 전도성 베이스의 덮혀진 표면은, 유전체 물질을 제거하기 위해 그라인딩되거나(ground) 폴리싱된다. 이는, 리세스들이 유전체 물질에 의해 완전하게 충전되는 상태에서, 열 전도성 베이스의 대략적으로 편평한 상부 표면을 초래할 수 있다.
[0040] 블록(525)에서, 금속 층은 열 전도성 베이스의 상부 표면 위에 증착된다. 금속 층 증착물은, 금속을 플라즈마 스프레잉, PVD, 스퍼터링, 기타 등등함으로써 적용될 수 있다.
[0041] 블록(530)에서, 유전체 층은, 금속 층을 덮기 위해, 열 전도성 베이스의 상부 표면 위에 증착된다. 유전체 층은, 이전에 설명된 바와 같은 내 플라즈마성 세라믹일 수 있다. 유전체 층 증착물은, 플라즈마 스프레잉, 졸-겔(sol-gel), 에어로졸 증착, PVD, 또는 화학 기상 증착(CVD) 프로세스들에 의해 적용될 수 있다. 부가적으로, 유전체 층을 형성하기 위해, 이러한 프로세스들 중 둘 또는 그 초과의 프로세스들의 조합이 수행될 수 있다. 증착된 유전체 층은 열 전도성 베이스의 상부 표면뿐만 아니라 열 전도성 베이스의 측벽들도 덮을 수 있다. 일 실시예에서, 유전체 층은, 증착 이후에, (예를 들어, 화학적 기계적 평탄화(CMP) 또는 다른 폴리싱 기술들을 사용하여) 명시된(specified) 두께로 폴리싱/그라인딩된다. 유전체 층에 대한 최종 두께는, 예를 들어, 10-20mil일 수 있다.
[0042] 블록(535)에서, 피쳐들이 유전체 층에 형성된다. 이는, (예를 들어, 비드 블라스팅 또는 솔트 블라스팅에 의해) 유전체 층의 상부 표면 상에 형성된 메사들을 형성하는 단계를 포함할 수 있다. 이는 또한, (예를 들어, 레이저 드릴 가공에 의해) 유전체 층, 금속 층 및/또는 열 전도성 베이스에 있는 홀들을 드릴 가공하는 단계를 포함할 수 있다. 그 후, 플러그들이 홀들에 형성될 수 있다.
[0043] 상기 설명은 본 발명의 여러 실시예들에 대한 우수한 이해를 제공하기 위해, 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정한 세부 사항들을 제시한다. 그러나, 본 발명의 적어도 몇몇 실시예들은, 이러한 특정한 세부 사항들 없이도 실행될 수 있음이 당업자에게 명백할 것이다. 다른 예시들에서, 주지된 컴포넌트들 또는 방법들은, 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위해, 상세하게 설명하지 않거나, 단순한 블록도 형식으로 나타낸다. 따라서, 제시된 구체적인 세부 사항들은 단지 예시적이다. 구체적인 구현예들은 이러한 예시적인 세부 사항들로부터 변화할 수 있으며, 본 발명의 범위 내에 속하는 것으로 여전히 이해될 수 있다.
[0044] 본 명세서 전체에 걸쳐서 "하나의 실시예" 또는 "실시예"에 대한 언급은 실시예에 관하여 설명된 특정 피쳐, 구조, 또는 특징이 적어도 하나의 실시예에 포함됨을 의미한다. 따라서, 본 명세서 전체의 다양한 위치들에서 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현들은 반드시 모두 동일한 실시예를 나타내는 것은 아니다. 또한, "또는"이라는 용어는 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하는 것으로 의도된다. 본원에서 "약" 또는 "대략"이라는 용어가 사용되는 경우, 이는, 제시된 명목상 값이 ±10% 내로 정확하다는 것을 의미하도록 의도된다.
[0045] 본원의 방법들의 작동들이 특정 순서로 도시되고 설명되지만, 각각의 방법의 작동들의 순서는 변경될 수 있으며, 그에 따라, 특정 작동들은 역 순서로 수행될 수 있거나, 또는 특정 작동은 다른 작동들과 적어도 부분적으로 동시에 수행될 수 있다. 다른 실시예에서, 개별 작동들의 하위-작동들 또는 명령들은 간헐적 방식으로 및/또는 교호하는 방식으로 이루어질 수 있다. 일 실시예에서, 다수의 금속 본딩 작동들은 단일 단계로서 수행된다.
[0046] 상기 설명이 예시적이고 제한적이지 않은 것으로 의도됨이 이해되어야 한다. 상기 설명을 읽고 이해할 때 많은 다른 실시예들이 당업자들에게 명백해질 것이다. 그러므로, 본 발명의 범위는 첨부된 청구항들에 관하여, 그러한 청구항들이 권리를 부여하는 등가물들의 전체 범위에 따라 결정되어야 한다.

Claims (15)

  1. 정전 척으로서,
    열 전도성 베이스;
    상기 열 전도성 베이스 내의 복수의 가열 엘리먼트들;
    상기 열 전도성 베이스의 적어도 부분을 덮는 금속 층 ― 상기 금속 층은, 무선 주파수(RF) 커플링으로부터 상기 복수의 가열 엘리먼트들을 차폐(shield)하고 그리고 상기 정전 척에 대한 전극으로서 기능함 ―; 및
    상기 금속 층을 덮는 내플라즈마성(plasma resistant) 유전체 층을 포함하는,
    정전 척.
  2. 제 1 항에 있어서,
    상기 내플라즈마성 유전체 층은, Y2O3-ZrO2 의 고용체(solid-solution) 및 Y4Al2O9 를 포함하는 세라믹 화합물을 포함하는,
    정전 척.
  3. 제 1 항에 있어서,
    상기 복수의 가열 엘리먼트들은, 상기 열 전도성 베이스에 내장된(embedded) 복수의 일차 가열 엘리먼트들 및 상기 열 전도성 베이스의 상부 표면에 형성된 리세스들에 배치된 복수의 보조 가열 엘리먼트들을 포함하는,
    정전 척.
  4. 제 3 항에 있어서,
    상기 복수의 보조 가열 엘리먼트들은, 복수의 상기 리세스들을 충전하는 유전체 물질 내에 매입되고(encased), 상기 금속 층은 상기 유전체 물질을 덮는,
    정전 척.
  5. 제 1 항에 있어서,
    상기 금속 층은 상기 열 전도성 베이스의 상부 표면 및 측벽들을 덮고, 상기 열 전도성 베이스는 금속을 포함하는,
    정전 척.
  6. 제 1 항에 있어서,
    상기 금속 층은 척킹 전극과 RF 전극 양자 모두로서 기능하는,
    정전 척.
  7. 제 1 항에 있어서,
    상기 금속 층은 대략 20-50mil의 두께를 갖고, 상기 내플라즈마성 유전체 층은 대략 10-20mil의 두께를 갖는,
    정전 척.
  8. 제 1 항에 있어서,
    상기 복수의 가열 엘리먼트들은, 상기 정전 척이, 프로세싱 동안, 지지되는 기판에 대해, 0.5도씨 미만의 온도 변화를 유지하게 하는,
    정전 척.
  9. 정전 척을 제조하는 방법으로서,
    열 전도성 베이스를 제공하는 단계;
    상기 열 전도성 베이스의 상부 표면 내에 복수의 리세스들을 형성하는 단계;
    상기 복수의 리세스들에 복수의 보조 가열 엘리먼트들을 형성하는 단계;
    상기 복수의 리세스들을 유전체 물질로 충전하는 단계;
    상기 열 전도성 베이스의 상기 상부 표면 위에 금속 층을 증착시키는 단계 ― 상기 금속 층은 상기 복수의 보조 가열 엘리먼트들을 무선 주파수(RF) 커플링으로부터 차폐하고, 상기 정전 척에 대한 전극으로서 기능함 ―; 및
    상기 금속 층을 내플라즈마성 유전체 층으로 덮는 단계를 포함하는,
    정전 척을 제조하는 방법.
  10. 제 9 항에 있어서,
    상기 복수의 리세스들에 상기 복수의 보조 가열 엘리먼트들을 형성하는 단계 전에, 상기 복수의 리세스들 내부에 상기 유전체 물질의 층을 증착시키는 단계를 더 포함하며,
    상기 유전체 물질은 상기 복수의 보조 가열 엘리먼트들을 상기 열 전도성 베이스로부터 전기 절연시키는,
    정전 척을 제조하는 방법.
  11. 제 10 항에 있어서,
    상기 복수의 보조 가열 엘리먼트들을 형성하는 단계는, 상기 복수의 리세스들의 상기 유전체 층 위에 금속을 증착시키는 단계를 포함하고, 상기 금속은 비-RF 가열 금속인,
    정전 척을 제조하는 방법.
  12. 제 9 항에 있어서,
    상기 금속 층을 증착시키는 단계는, 플라즈마 스프레이 프로세스, 플라즈마 PVD 프로세스 또는 스퍼터링 프로세스를 사용하여, 몰리브덴, 텅스텐, 또는 알루미늄 중 적어도 하나를 증착시는 단계를 포함하는,
    정전 척을 제조하는 방법.
  13. 제 9 항에 있어서,
    상기 금속 층을 내플라즈마성 유전체 층으로 덮는 단계는, 플라즈마 스프레이 프로세스, 졸-겔(sol-gel) 프로세스, 에어로졸 스프레이 프로세스, 또는 물리 기상 증착(PVD) 프로세스를 수행하는 단계를 포함하는,
    정전 척을 제조하는 방법.
  14. 제 9 항에 있어서,
    상기 내플라즈마성 유전체 층은 이트륨 도미넌트 세라믹(yttrium dominant ceramic)을 포함하는,
    정전 척을 제조하는 방법.
  15. 제 14 항에 있어서,
    상기 이트륨 도미넌트 세라믹은, Y2O3-ZrO2 의 고용체 및 Y4Al2O9 를 포함하는 세라믹 화합물을 포함하는,
    정전 척을 제조하는 방법.
KR1020157019435A 2012-12-21 2013-12-11 단일-본체 정전 척 KR102183029B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/725,449 2012-12-21
US13/725,449 US8941969B2 (en) 2012-12-21 2012-12-21 Single-body electrostatic chuck
PCT/US2013/074494 WO2014099559A1 (en) 2012-12-21 2013-12-11 Single-body electrostatic chuck

Publications (2)

Publication Number Publication Date
KR20150099796A true KR20150099796A (ko) 2015-09-01
KR102183029B1 KR102183029B1 (ko) 2020-11-25

Family

ID=50974369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157019435A KR102183029B1 (ko) 2012-12-21 2013-12-11 단일-본체 정전 척

Country Status (6)

Country Link
US (1) US8941969B2 (ko)
JP (2) JP6327755B2 (ko)
KR (1) KR102183029B1 (ko)
CN (2) CN107833850A (ko)
TW (1) TWI511232B (ko)
WO (1) WO2014099559A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11705357B2 (en) 2019-10-14 2023-07-18 Semes Co., Ltd. Substrate processing system including electrostatic chuck and method for manufacturing electrostatic chuck

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) * 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN105481361B (zh) * 2015-12-30 2017-12-05 中国工程物理研究院化工材料研究所 Yam低介微波介质陶瓷及其制备方法
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11823940B2 (en) 2016-06-01 2023-11-21 Applied Matierals, Inc. Electrostatic chuck and manufacturing method therefor
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6830030B2 (ja) 2017-04-27 2021-02-17 新光電気工業株式会社 静電チャック及び基板固定装置
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
US11990360B2 (en) 2018-01-31 2024-05-21 Lam Research Corporation Electrostatic chuck (ESC) pedestal voltage isolation
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110620074A (zh) * 2018-06-19 2019-12-27 北京北方华创微电子装备有限公司 基座组件及反应腔室
KR20200023988A (ko) * 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7493516B2 (ja) 2019-01-15 2024-05-31 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR20210117338A (ko) 2019-02-12 2021-09-28 램 리써치 코포레이션 세라믹 모놀리식 바디를 갖는 정전 척
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks
JP7370741B2 (ja) * 2019-06-25 2023-10-30 株式会社栗本鐵工所 材料の移送装置
KR102677038B1 (ko) * 2020-05-22 2024-06-19 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
CN112899632B (zh) * 2021-05-07 2021-12-28 上海陛通半导体能源科技股份有限公司 可实现便捷控温的真空镀膜工艺设备及方法
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106319A (ja) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Cvd反応炉の加熱装置の電磁遮蔽方法
KR20050121913A (ko) * 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
JP2010109316A (ja) * 2008-03-11 2010-05-13 Tokyo Electron Ltd 載置台構造及び処理装置

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
JP2638649B2 (ja) * 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2001077185A (ja) * 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
DE60045384D1 (de) 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
CN100513038C (zh) 2000-05-02 2009-07-15 约翰斯霍普金斯大学 使用可独立反应的多层薄片的结合方法以及结合结构
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030073085A1 (en) * 2001-10-05 2003-04-17 Fang Lai Amplifying expressed sequences from genomic DNA of higher-order eukaryotic organisms for DNA arrays
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
DE10317792A1 (de) * 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
KR100756776B1 (ko) 2003-10-31 2007-09-07 가부시끼가이샤 도꾸야마 질화 알루미늄 접합체 및 그의 제조 방법
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4806179B2 (ja) * 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008042137A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd 静電チャック装置
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5080954B2 (ja) * 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103140913B (zh) * 2010-10-29 2016-09-28 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
US9082804B2 (en) * 2011-02-07 2015-07-14 Varian Semiconductor Equipment Associates, Inc. Triboelectric charge controlled electrostatic clamp
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106319A (ja) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Cvd反応炉の加熱装置の電磁遮蔽方法
KR20050121913A (ko) * 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
JP2010109316A (ja) * 2008-03-11 2010-05-13 Tokyo Electron Ltd 載置台構造及び処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11705357B2 (en) 2019-10-14 2023-07-18 Semes Co., Ltd. Substrate processing system including electrostatic chuck and method for manufacturing electrostatic chuck

Also Published As

Publication number Publication date
KR102183029B1 (ko) 2020-11-25
CN104854693A (zh) 2015-08-19
JP6660658B2 (ja) 2020-03-11
WO2014099559A1 (en) 2014-06-26
JP6327755B2 (ja) 2018-05-23
US20140177123A1 (en) 2014-06-26
CN104854693B (zh) 2017-11-24
CN107833850A (zh) 2018-03-23
JP2016503962A (ja) 2016-02-08
TWI511232B (zh) 2015-12-01
US8941969B2 (en) 2015-01-27
TW201430994A (zh) 2014-08-01
JP2018142714A (ja) 2018-09-13

Similar Documents

Publication Publication Date Title
JP6660658B2 (ja) 単体静電チャック
US11088005B2 (en) Electrostatic chuck having thermally isolated zones with minimal crosstalk
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
TWI660453B (zh) 用於高溫處理之靜電吸座組件
KR102422715B1 (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
WO2014176282A1 (en) Protective cover for electrostatic chuck

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant