TW201430994A - 單體靜電夾盤 - Google Patents

單體靜電夾盤 Download PDF

Info

Publication number
TW201430994A
TW201430994A TW102146966A TW102146966A TW201430994A TW 201430994 A TW201430994 A TW 201430994A TW 102146966 A TW102146966 A TW 102146966A TW 102146966 A TW102146966 A TW 102146966A TW 201430994 A TW201430994 A TW 201430994A
Authority
TW
Taiwan
Prior art keywords
thermally conductive
electrostatic chuck
metal layer
conductive base
heating elements
Prior art date
Application number
TW102146966A
Other languages
English (en)
Other versions
TWI511232B (zh
Inventor
Senh Thach
Dmitry Lubomirsky
Jennifer Y Sun
Konstantin Makhratchev
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201430994A publication Critical patent/TW201430994A/zh
Application granted granted Critical
Publication of TWI511232B publication Critical patent/TWI511232B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Manipulator (AREA)

Abstract

本發明係關於一種靜電夾盤,該靜電夾盤包括導熱基座,該導熱基座具有安置於該導熱基座中之複數個加熱元件。金屬層覆蓋該導熱基座之至少一部分,其中該金屬層使該等複數個加熱元件免受射頻(radio frequency,RF)耦合,且用作該靜電夾盤之電極。抗電漿介電層覆蓋該金屬層

Description

單體靜電夾盤
本發明之實施例大體而言係關於一種靜電夾盤。
在半導體工業中,裝置藉由許多生產尺寸不斷減小之結構的製造製程而被製造。一些製造製程(諸如電漿蝕刻及電漿清洗製程)將基板支座曝露於高速電漿流(例如在晶圓處理期間曝露該基板支座之邊緣及在腔室清洗期間曝露整個基板支座),以蝕刻或清洗該基板。該電漿可能具有高強腐蝕性,且可腐蝕處理腔室及曝露於該電漿之其他表面。
另外,傳統靜電夾盤包括接合至金屬冷卻板材之陶瓷圓盤聚矽氧。該等傳統靜電夾盤中之陶瓷圓盤係藉由多步驟製造製程製造,對於形成嵌入式電極及加熱元件,該多步驟製造製程成本較高。
在一實施例中,靜電夾盤包括導熱基座,該導熱基座中具有複數個加熱元件。金屬層覆蓋該導熱基座之至少一部分,其中該金屬層使該等複數個加熱元件免受射頻(radio frequency,RF)耦合,且用作該靜電夾盤之一電極。抗電漿 介電層覆蓋該金屬層。
100‧‧‧半導體處理腔室
102‧‧‧腔室主體
104‧‧‧蓋
106‧‧‧內部體積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外襯
118‧‧‧內襯
126‧‧‧排氣口
128‧‧‧泵系統
130‧‧‧氣體分配總成
132‧‧‧孔
136‧‧‧介電層
144‧‧‧基板
146‧‧‧介電層
148‧‧‧基板支座總成
150‧‧‧靜電夾盤
152‧‧‧台座
158‧‧‧氣體分配盤
162‧‧‧安裝板材
164‧‧‧導熱基座
168‧‧‧導管
170‧‧‧輔助加熱元件
172‧‧‧流體源
174‧‧‧嵌入式絕熱體
176‧‧‧加熱元件
178‧‧‧加熱器電源
180‧‧‧金屬層
182‧‧‧夾持電源
184‧‧‧射頻功率源
186‧‧‧射頻功率源
188‧‧‧匹配電路
195‧‧‧控制器
206‧‧‧上表面
208‧‧‧通道
210‧‧‧凸台
212‧‧‧通道
216‧‧‧外環
222‧‧‧環形外圍
310‧‧‧介電材料
315‧‧‧凹槽
400‧‧‧分解側視圖
405‧‧‧介電材料
500‧‧‧製程
505‧‧‧方塊
510‧‧‧方塊
512‧‧‧方塊
515‧‧‧方塊
520‧‧‧方塊
525‧‧‧方塊
530‧‧‧方塊
535‧‧‧方塊
本發明以實例說明且不作為限制,在附圖之該等圖式中,相同元件符號表示相同元件。應注意,本揭示案中對「一(an)」或「一(one)」實施例之不同的引用不必引用同一實施例,且該等引用意謂至少一個實施例。
第1圖圖示處理腔室之一實施例之剖視圖;第2圖圖示基板支座總成之一實施例之分解圖;第3圖圖示靜電夾盤之一實施例之側視圖;第4圖圖示靜電夾盤中輔助加熱元件之一實施例之分解側視圖;以及第5圖圖示用於製造靜電夾盤之製程之一實施例。
本發明之實施例提供一單體靜電夾盤。該單體靜電夾盤包括具有一些內部組件及一系列塗層之集合的導熱基座。該等塗層可包括可用作夾持電極及/或射頻(radio frequency,RF)電極之金屬層塗層,及介電層塗層,該介電層塗層為抗電漿陶瓷。該導熱基座之上表面上可形成一或更多凹槽。此等凹槽可包括輔助加熱元件,該等輔助加熱元件可提供局部加熱,以維持所支撐之基板(例如,夾持之晶圓)上之溫度的均勻性。金屬層可覆蓋輔助加熱元件,且可提供射頻屏蔽,以使輔助加熱元免受射頻耦合。
與傳統靜電夾盤不同,該單體靜電夾盤可缺少靜電圓盤,該靜電圓盤傳統上包含電極及加熱元件。因此,製造 該單體靜電夾盤之實施例可能比製造傳統靜電夾盤廉價。另外,與傳統靜電夾盤相比,該單體靜電夾盤之實施例可提供改良之溫度均勻性,且與傳統靜電夾盤相比,該單體靜電夾盤可具有改良之電漿抗性。此外,該等實施例提供可快速調整溫度之靜電夾盤。該靜電夾盤及所支撐的基板可經快速地加熱或冷卻,一些實施例能夠使溫度以2℃/s或更快之速度變化。此情況使該靜電夾盤能夠用於多步驟製程,在該等製程中,例如可在20-30℃處理一晶圓,且為進一步處理,溫度可隨後快速地斜升至80-90℃。本文中描述之實施例可用於Columbic靜電夾盤應用及Johnson Raybek夾盤應用兩者。
第1圖為半導體處理腔室100之一實施例之剖視圖,該半導體處理腔室中安置有基板支座總成148。處理腔室100包括腔室主體102及蓋104,腔室主體102及蓋104包圍內部體積106。腔室主體102可由鋁、不銹鋼或其他適當之材料製成。腔室主體102大體包括側壁108及底部110。外襯116可經安置臨近側壁108以保護腔室主體102。外襯116可由抗電漿或抗含鹵素氣體材料製成及/或塗覆有抗電漿或抗含鹵素氣體材料。在一實施例中,外襯116由氧化鋁製成。在另一實施例中,外襯116由氧化釔、釔合金或釔合金之氧化物製成,或外襯116塗覆有氧化釔、釔合金或釔合金之氧化物。
排氣口126可界定於腔室主體102中,且可將內部體積106耦接至泵系統128。泵系統128可包括一或更多泵及用以排氣且調節處理腔室100之內部體積106之壓力的節流 閥。
腔室主體102之側壁108上可支撐蓋104。蓋104可打開以允許超過腔室100之內部體積,且在蓋104關閉時可為處理腔室100提供密封。氣體分配盤158可耦接至處理腔室100,以經由為蓋104之一部分的氣體分配總成130將處理氣體及/或清洗氣體提供至內部體積106。可用於處理腔室中製程之處理氣體的實例包括含鹵素氣體,諸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、Cl2、及SiF4,此外還包括諸如O2或N2O之其他氣體。載氣之實例包括N2、He、Ar及不與處理氣體反應之其他氣體(例如非反應性氣體)。在氣體分配總成130之下游表面上可具有多個孔132,以將氣流導向基板144之表面。另外,氣體分配總成130可具有一中心孔,在該中心孔處可經由陶瓷氣體噴嘴饋送氣體。氣體分配總成130可藉由陶瓷材料(諸如碳化矽、氧化釔等)製造及/或塗覆,以提供對含鹵素化學物之抗性,以使氣體分配總成130免受腐蝕。
基板支座總成148安置於氣體分配總成130下方之處理腔室100的內部體積106中。在處理期間,基板支座總成148固定基板144(例如晶圓)。內襯118可塗覆於基板支座總成140之外圍上。內襯118可為抗含鹵素氣體材料,諸如關於外襯116論述之彼等材料。在一實施例中,內襯118可由與外襯116相同之材料製成。
在一實施例中,基板支座總成148包括支撐台座152之安裝板材162及靜電夾盤150。石英環146或其他保護環環 繞且覆蓋靜電夾盤150之部分。靜電夾盤150包括具有多個內部特徵及多塗層之導熱基座164。在一實施例中,導熱基座164為包括一或更多嵌入式加熱元件176、嵌入式絕熱體174及/或導管168之金屬主體(例如鋁),以控制支座總成148之橫向溫度分佈。導管168可流動地耦接至流體源172,該流體源172經由導管168循環溫度調節流體。在一實施例中,嵌入式絕熱體174可安置於導管168之間。加熱元件176藉由加熱器電源178調節。導管168及加熱元件176可用以控制導熱基座164之溫度,進而加熱及/或冷卻靜電夾盤150及處理中之基板(例如晶圓)144。導熱基座164之溫度可使用複數個溫度感測器監視,該等複數個溫度感測器可使用控制器195監視。
除加熱元件176外,導熱基座164可包括一或更多輔助加熱元件170。輔助加熱元件170可經定位,或可為將附加熱量施加至導熱基座164及/或基板144之目標區域之輔助加熱器。藉由共同使用加熱元件176及輔助加熱元件170,靜電夾盤150可保持基板144上之較高溫度均勻性(例如,至0.5攝氏度之內)。
金屬主體164之上表面由金屬層180覆蓋。金屬層180亦可覆蓋金屬主體164之一或更多側。金屬層180可耦接至夾持電源182,且可用作夾持電極以將基板144夾持至靜電夾盤150。夾持電源可施加直流(DC)電壓,在將該直流電壓施加於金屬層180之前,可經由低通濾波器過濾該該直流電壓。
金屬層180可進一步經由匹配電路188耦接至一或更多射頻功率源184、186,以維持由處理腔室100內之處理氣體及/或其他氣體形成之電漿。在一實施例中,金屬層180與匹配電路188之耦接係經由同軸輸送管(例如同軸管)。該同軸輸送管可包括絕緣管,該絕緣管包裹在金屬管中,該金屬管包裹在另一絕緣管中。或者,該同軸輸送管可包括金屬管,該金屬管之內部及外部塗覆有絕緣層(例如介電材料)。經由同軸輸送管輸送至金屬層180之射頻功率可施加於金屬管之外部。剩餘控制線(例如控制器195、加熱器電源178、加熱元件168、輔助加熱元件170等之剩餘控制線)穿過同軸輸送管之內部。因此,控制線藉由金屬管免受射頻耦合。
一或更多阻擋直流電容器可插入匹配電路188與金屬層180之間。源184、186大體能夠產生具有約50kHz至約3GHz之頻率的射頻訊號,及高達約10,000瓦特之功率。在一實施例中,射頻訊號施加於金屬層180,交流電(AC)施加於該加熱器,且直流電(DC)亦施加於金屬層180。或者,獨立射頻電極可嵌入於導熱基座164中,且匹配電路188可耦接至獨立射頻電極。
金屬層180由介電層136塗覆,該介電層136可能係抗電漿的。在一實施例中,介電層136安置於金屬主體164之上表面上,在金屬層180之上。在另一實施例中,介電層136延伸至金屬主體164之側面,亦覆蓋於金屬層之側面上。
介電層136可能為沉積陶瓷、噴霧陶瓷或生長陶 瓷,例如Y2O3(氧化釔(yttria)或氧化釔(yttrium oxide))、Y4Al2O9(YAM)、Al2O3(氧化鋁)Y3Al5O12(YAG)、YAlO3(YAP)、石英、SiC(碳化矽)Si3N4(氮化矽)矽鋁氧氮陶瓷材料、AlN(氮化鋁)、AlON(氮氧化鋁)、TiO2(二氧化鈦)、ZrO2(氧化鋯)、TiC(碳化鈦)、ZrC(碳化鋯)、TiN(氮化鈦)、TiCN(碳氮化鈦)Y2O3穩定的ZrO2(YSZ)等。介電層136亦可為陶瓷複合材料,諸如分佈於Al2O3基質中之Y3Al5O12、Y2O3-ZrO2固溶體或SiC-Si3N4固溶體。介電層136亦可為包括含氧化釔(亦稱氧化釔及Y2O3)固溶體之陶瓷複合材料。舉例而言,介電層136可為由化合物Y4Al2O9(YAM)及固溶體Y2-xZrxO3(Y2O3-ZrO2固溶體)組成之高性能材料(HPM)。注意,純氧化釔以及含氧化釔固溶體可摻雜ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中之一或更多者。亦注意,可使用純氮化鋁以及摻雜有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中之一或更多者之氮化鋁。或者,該介電層可為藍寶石或MgAlON。
在一實施例中,介電層為由Y2O3粉末、ZrO2粉末及Al2O3粉末之混合物生成之高性能陶瓷複合材料。在一實施例中,高性能陶瓷複合材料包含77%的Y2O3、15%的ZrO2及8%的Al2O3。在另一實施例中,高性能陶瓷複合材料包含63%的Y2O3、23%的ZrO2及14%的Al2O3。在另一實施例中,高性能陶瓷複合材料包含55%的Y2O3、20%的ZrO2及25%的Al2O3。相對百分比可為莫耳比。舉例而言,高性能陶瓷複合 材料可含有77莫耳%的Y2O3、15莫耳%的ZrO2及8莫耳%的Al2O3。此等陶瓷粉末的其他分配亦可用於該高性能材料。
安裝板材162為絕緣體(例如介電性材料),該安裝板材162附接於腔室主體102之底部110,且包括用於為到達導熱基座164之公用設備(例如流體、電線、感測器導線等)佈線的通路。介電層136可進一步包括多個氣體通路,諸如凹槽、凸台及其他表面特徵,該等表面特徵可在該介電層之上表面中形成。該等氣體通路可流動地耦接至傳熱(或背部)氣體源,諸如鑽於導熱基座164、金屬層180及介電層136中的氦氣通孔(未圖示)。此等孔可用陶瓷塞子填充。陶瓷塞子可為多孔的,且可允許氦氣流動。儘管如此,陶瓷塞子可防止流動電漿之發生電弧。在操作中,在受控壓力下可將背部氣體提供至氣體通路,以增強靜電夾盤150與基板144之間的傳熱。
第2圖圖示基板支座總成148之一實施例之分解圖。基板支座總成148圖示靜電夾盤150及台座152之分解圖。靜電夾盤150包括由金屬層(未圖示)及介電層136覆蓋之導熱基座164。導熱基座164為碟形,該碟形具有環形外圍222,該環形外圍222實質上可與定位於導熱基座164上之基板144的形狀與大小匹配。在一實施例中,導熱基座164可由金屬,諸如鋁、不銹鋼或其他適當材料製成。在替代實施例中,導熱基座164可能為導熱陶瓷。舉例而言,導熱基座164可由諸如滲有SiC或鉬的鋁矽合金之陶瓷複合材料製成。導熱基座164應提供良好的強度及耐久性以及傳熱性質。 介電層136之上表面206可具有外環216、多個凸台210及位於該等凸台之間的通道208、212。
第3圖圖示靜電夾盤150之橫截面側視圖。參看第3圖,靜電夾盤150之導熱基座164包括多個加熱元件176、一或更多熱障壁174及導管168,以維持導熱基座164及基板144上之相對均勻的溫度。可將電壓施加至加熱元件176,以加熱導熱基座164,且加熱或冷卻之流體可流經該等導管以用於溫度控制。藉由使用熱障壁174可將導熱基座164分為多個熱隔離區域。在一實施例中,熱障壁174為氣隙。或者,熱障壁174可包含諸如聚矽氧或玻璃之導熱性差之熱導體材料。
在一實施例中,加熱元件176藉由介電材料310與導熱基座164電隔離。在一實施例中,藉由在金屬主體164之下表面中形成凹槽形成加熱元件176。凹槽可由介電材料310部分地填充,隨後,可將加熱元件176置放於該等凹槽中或在該等凹槽中形成加熱元件176。加熱元件176可為沉積金屬,諸如鎢、鋁或鉬。或者,加熱元件176可為可置放於該等凹槽中的金屬導線或跡線。凹槽可能隨後由介電材料310填充。
除加熱元件176之外,導熱基座164可包括一或更多輔助加熱元件170,所有的加熱元件170可能為電阻式加熱元件。輔助加熱元件之功率可能低於加熱元件176,且可用以調整所支撐之基板144的目標區域之溫度。如圖所示,輔助加熱元件170定位於導熱基座106之上表面中的凹槽315中, 相對地接近於該頂表面。因此,加熱元件176及輔助加熱元件170可位於導熱基座164內之不同平面上。凹槽315可藉由蝕刻或切削製程形成。形成凹槽315後,可沉積介電材料,隨後可沉積輔助加熱元件170。介電材料可為例如氧化鋁、氧化釔、氧化鎂或其他介電材料。輔助加熱元件可為沉積金屬。用於輔助加熱元件之金屬較佳為具有低膨脹係數之非射頻加熱金屬。可用於輔助加熱元件170之金屬的實例包括鉬、鋁及鎢。輔助加熱元件170可具有同心圓或非同心圓形狀,局部橢圓形或其他形狀。局部加熱元件的數量及置放可經戰略性選定,以促進溫度精調,獲得溫度均勻性。
金屬層180在金屬主體164之上表面的上方以及輔助加熱元件170的上方形成。在一實施例中,金屬層覆蓋導熱基座164之上表面及側壁。另外,金屬層可覆蓋導熱基座164之下表面之部分。在導熱基座164亦為導電基座(例如導熱基座為金屬)之一實施例中,金屬層180及基座164圍繞輔助加熱元件170形成射頻封閉區或射頻箱。此舉可使輔助加熱元件170免受射頻耦合。若不預防該射頻耦合,則該射頻耦合可導致輔助加熱元件不可控地升溫,導致熱點及/或靜電夾盤150及/或基板144遭到損壞。
金屬層180可電耦接至導熱基座164之底面上的傳導表面。若導熱基座164為金屬,則金屬層180可電耦接至該金屬。金屬層180經由電連接可接收射頻訊號及/或直流電壓,以用於夾持。因此,金屬層180可作為夾持電極或射頻電極中之一或兩者。在一實施例中,金屬層180之厚度約為 20-50密耳。然而在替代實施例中,金屬層可係更厚或更薄之金屬層。
介電層136在金屬層180之上形成。介電層136之厚度可經選定以提供所要的介電性質,諸如特定的擊穿電壓。在一實施例中,當該靜電夾盤用於Columbic模式時,介電層之厚度可為約150微米至1毫米(且在一實例實施例中為約200-300微米)。若該靜電夾盤待用於Johnson Raybek模式,該介電層之厚度可約為1毫米至1.5毫米。
如上所述,介電層136可為噴霧、沉積、濺射等的金屬。在一實施例中,介電層為高性能陶瓷複合材料,該材料之硬度較高,在電漿處理期間可抗磨耗(由於基板與圓盤之間的熱性質失配引起的相對運動)。在一實施例中,高性能陶瓷複合材料提供在約5GPa與約11GPa之間的維氏硬度(5Kgf)。在一實施例中,高性能陶瓷複合材料提供約9至10GPa之維氏硬度。另外,在一實施例中,在室溫下,該高性能陶瓷複合材料可具有約為4.90g/cm3之密度、約為215MPa之撓曲強度,約為1.6MPa.m1/2之斷裂韌度、約為190GPa之楊氏模量、約為8.5x10-6/K(20~900℃)之熱膨脹、約為3.5W/mK之熱導率、約為15.5(在20℃,13.56MHz下量測)之介電常數、約為11x10-4(20℃ 13.56MHz)之介電損耗角正切,及大於1015Ωcm之體積電阻係數。
在另一實施例中,該介電層為YAG。在另一實施例中,該介電層為藍寶石。在另一實施例中,該介電層為氧化鋁釔(YxAlyOz)。
凸台(未圖示)可在介電層136之表面上形成,且介電層146、金屬層180及導熱基座164可能包括用於氦氣流動之孔(未圖示)。其他特徵(諸如密封帶及氦凹槽)亦可形成於介電層146之表面上。在介電層136形成於導熱基座164上後,可形成孔、凸台及/或其他特徵。在一實施例中,凸台係藉由珠粒噴擊、食鹽噴擊或另外使介電層136之表面粗糙而形成。在一實施例中,孔由鐳射鑽入層及導熱基座164中。
第4圖圖示靜電夾盤150中之輔助加熱元件170之一實施例之分解側視圖400。輔助加熱元件170在介電材料405的第一層上方之導熱基座184中的凹槽內形成。介電材料405之一或更多附加層隨後在輔助加熱元件170上方形成。介電材料405可為沉積陶瓷,諸如氧化鋁(Al2O3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、碳化矽(SiC)、氧化釔(Y2O3)、氧化鎂(MgO)等。或者,介電材料可為其他介電材料,諸如其他氧化物。沉積於輔助加熱元件170上方之金屬層180可使輔助加熱元件170免受射頻耦合。抗電漿介電層136安置於金屬層180之上。抗電漿介電層136可提供足以執行靜電夾持之擊穿電壓,且可保護靜電夾盤150不受電漿的破壞。
第5圖圖示用於製造靜電夾盤之製程500之一實施例。在製程500之方塊505處提供一導熱基座。所提供之導熱基座可為具有內部組件(諸如加熱元件、熱斷裂及/或用於冷卻及/或加熱之內部通道)的金屬碟。此等內部元件可使用 例如切削、蝕刻及沉積製程,在金屬基座中形成。舉例而言,以下參考該等輔助加熱元件描述之操作可在導熱基座之下表面上執行,以形成該等加熱元件。
在方塊510處,凹槽在導熱基座之上表面中形成。凹槽可藉由蝕刻或切削形成。在方塊512處,介電材料層沉積於凹槽之內部。在一實施例中,介電材料層可沉積於導熱基座之全部上表面之上方。在方塊515處,輔助加熱元件在介電材料之上的凹槽中形成。此舉可藉由在介電材料層上方沉積一金屬層執行。藉由電漿噴霧、物理氣相沉積(PVD)、濺射或使用金屬的其他沉積製程可應用金屬層沉積。隨後,除了在凹槽中的金屬層,可從導熱基座移除金屬層。此舉可藉由以下方法執行,例如使用微影術界定蝕刻區域,且隨後蝕刻除凹槽內部之外的金屬層。
在方塊520處,凹槽由該介電材料(或不同的介電材料)填充。此步驟可包括在導熱基座之上表面上方以及凹槽中沉積介電材料。在沉積介電材料後,可研磨或拋光所覆蓋的導熱基座之表面,以移除該介電材料。此舉可導致該導熱基座之大致平坦的上表面,同時凹槽由該介電材料完全填充。
在方塊525處,在導熱基座之上表面的上方沉積一金屬層。藉由電漿噴霧、PVD、濺射等可將金屬層沉積應用於金屬上。
在步驟530處,在導熱基座之上表面的上方沉積一介電層,以覆蓋該金屬層。該介電層可為抗電漿陶瓷,諸如 前述之彼等抗電漿陶瓷。藉由電漿噴霧、溶膠-凝膠、氣溶膠沉積,物理氣相沉積(PVD)或化學氣相沉積(CVD)製程可應用該介電層沉積。另外,可執行兩個或兩個以上此等製程之組合,以形成該介電層。沉積之介電層可覆蓋該導熱基座的上表面以及該導熱基座之側壁。在一實施例中,在沉積後將該介電層拋光/研磨至指定厚度(例如使用化學機械研磨(CMP)或其他拋光技術)。該介電層之最終厚度可為例如10-20密耳。
在方塊530處,在該介電層中形成特徵。此步驟可包括在該介電層之上表面上形成凸台(例如,藉由珠粒噴擊或食鹽噴擊)。此步驟亦可包括在該介電層、該金屬層及/或該導熱基座中鑽孔(例如,藉由鐳射鑽孔)。隨後該孔中可形成塞子。
在前述描述中闡述了許多特定細節,諸如特定系統、組件、方法等的實例,以便較好地瞭解本發明之若干實施例。然而,熟習此項技術者將顯而易見,本發明之至少一些實施例可在沒有此等特定細節的情況下實施。在其他情況下,眾所熟知的組件或方法將不再詳細描述或存在於簡單的方塊圖格式中,以免造成本發明不必要的模糊。因此,所闡述的特定細節僅為示例性。特定之實施可與此等示例性細節不同,且仍涵蓋於本發明之範疇內。
本說明書全文中提及的「一實施例(one embodiment)」或「一實施例(an embodiment)」意謂所描述的與該實施例有關的特定特徵、結構或特性係包括在至少 一個實施例中。因此,此說明書全文之多個地方出現的用語「在一實施例中(in one embodiment)」或「在一實施例中(in an embodiment)」不必全部代表同一實施例。此外,用語「或」意欲意謂包含的「或」而非獨佔的「或」。當本文中使用術語「約(about)」「約(approximately)」時,此意欲意謂所呈現之標稱值精確度在±10%之內。
儘管本文以特定之順序圖示及描述該等方法之操作,但各方法之操作順序可改變,以便可以倒序執行某些操作,或以便可與其他操作同時(至少部分)執行某些操作。在另一實施例中,不同操作之指令或子操作可為間歇性及/或交替方式。在一實施例中,多個金屬接合操作係作為單一步驟執行。
應瞭解,上述描述意欲說明,而非限制。在閱讀及瞭解上述描述的基礎上,許多其他實施例對於彼等熟習此項技術者將變得顯而易見。因此,本發明之範疇連同主張權利之該申請專利範圍的同等物的全部範疇應由有關附加申請專利範圍決定。
136‧‧‧介電層
150‧‧‧靜電夾盤
180‧‧‧金屬層
164‧‧‧導熱基座
170‧‧‧輔助加熱元件
176‧‧‧加熱元件
168‧‧‧導管
174‧‧‧嵌入式絕熱體
310‧‧‧介電材料
315‧‧‧凹槽

Claims (20)

  1. 一種靜電夾盤,該靜電夾盤包含:一導熱基座;複數個加熱元件,該等複數個加熱元件在該導熱基座中;一金屬層,該金屬層覆蓋該導熱基座之至少一部分,其中該金屬層使該等複數個加熱元件免受射頻(radio frequency,RF)耦合,且用作該靜電夾盤之一電極;以及一抗電漿介電層,該抗電漿介電層覆蓋該金屬層。
  2. 如請求項1所述之靜電夾盤,其中該抗電漿介電層包含一陶瓷化合物,該陶瓷化合物包含Y4Al2O9及Y2O3-ZrO2之固溶體。
  3. 如請求項1所述之靜電夾盤,其中該等複數個加熱元件包含嵌入該導熱基座之複數個主要加熱元件及安置於該導熱基座之上表面中所形成的凹槽中之複數個輔助加熱元件。
  4. 如請求項3所述之靜電夾盤,其中該等複數個輔助加熱元件包裹在一介電材料中,該介電材料填充該等複數個凹槽,且其中該金屬層覆蓋該介電材料。
  5. 如請求項1所述之靜電夾盤,其中該金屬層覆蓋該導熱基座之一上表面及側壁,且其中該導熱基座包含一金屬。
  6. 如請求項1所述之靜電夾盤,其中該金屬層用作一夾持電極及一射頻電極兩者。
  7. 如請求項1所述之靜電夾盤,其中該金屬層具有約為20-50密耳之一厚度,且該抗電漿介電層具有約為10-20密耳之一厚度。
  8. 如請求項1所述之靜電夾盤,其中在處理期間,該等複數個加熱元件使該靜電夾盤維持一所支撐基板上小於0.5攝氏度之一溫度變化。
  9. 一種製造一靜電夾盤之方法,該方法包含以下步驟:提供一導熱基座;在該導熱基座之一上表面中形成複數個凹槽;在該等複數個凹槽中形成複數個輔助加熱元件;使用一介電材料填充該等複數個凹槽;在該導熱基座之該上表面的上方沉積一金屬層,其中該金屬層使該等複數個輔助加熱元件免受射頻(radio frequency,RF)耦合,且用作該靜電夾盤之一電極;以及使用一抗電漿介電層覆蓋該金屬層。
  10. 如請求項9所述之方法,該方法進一步包含以下步驟: 在該複數個凹槽中形成該等複數個輔助加熱元件之前,在該等複數個凹槽內部沉積該介電材料之一層,其中該介電材料使該等複數個輔助加熱元件與該導熱基座電氣隔離。
  11. 如請求項10所述之方法,其中形成該等複數個輔助加熱元件之步驟包含在該等複數個凹槽中之該介電層上方沉積金屬,其中該金屬為一非射頻加熱金屬。
  12. 如請求項9所述之方法,其中沉積該金屬層之步驟包含使用一電漿噴霧製程、一電漿物理氣相沉積製程或一濺射製程沉積鉬、鎢或鋁中之至少一者。
  13. 如請求項9所述之方法,其中使用該抗電漿介電層覆蓋該金屬層包含執行一電漿噴霧製程、一溶膠-凝膠製程、一氣溶膠噴霧製程或一物理氣相沉積(PVD)製程。
  14. 如請求項9所述之方法,其中該抗電漿介電層包含一釔主陶瓷。
  15. 如請求項14所述之方法,其中該釔主陶瓷包含一陶瓷化合物,該陶瓷化合物包含Y4Al2O9及一Y2O3-ZrO2之固溶體。
  16. 如請求項9所述之方法,該方法進一步包含以下步驟:在該電漿抵抗介電層之一表面上形成複數個凸台。
  17. 一種已藉由一製造製程製造之靜電夾盤,該製造製程包含:提供一導熱基座;在該導熱基座之一上表面中形成複數個凹槽;在該等複數個凹槽中形成複數個輔助加熱元件;使用一介電材料填充該等複數個凹槽;在該導熱基座之該上表面上方沉積一金屬層,其中該金屬層使該等複數個輔助加熱元件免受射頻(radio frequency,RF)耦合,且用作該靜電夾盤之一電極;以及使用一抗電漿介電層覆蓋該金屬層。
  18. 如請求項17所述之靜電夾盤,該製造製程進一步包含:在該等複數個凹槽中形成該等複數個輔助加熱元件之前,在該等複數個凹槽內部沉積該介電材料之一層,其中該介電材料使該等複數個輔助加熱元件與該導熱基座電氣隔離。
  19. 如請求項17所述之靜電夾盤,其中形成該等複數個輔助加熱元件包含在該等複數個凹槽中之該介電層上方沉積金屬,其中該金屬為一非射頻加熱金屬。
  20. 如請求項17所述之靜電夾盤,其中使用該抗電漿介電層覆蓋該金屬層包含執行一電漿噴霧製程、一溶膠-凝膠製程、 一氣溶膠噴霧製程或一物理氣相沉積(PVD)製程。
TW102146966A 2012-12-21 2013-12-18 單體靜電夾盤 TWI511232B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/725,449 US8941969B2 (en) 2012-12-21 2012-12-21 Single-body electrostatic chuck

Publications (2)

Publication Number Publication Date
TW201430994A true TW201430994A (zh) 2014-08-01
TWI511232B TWI511232B (zh) 2015-12-01

Family

ID=50974369

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102146966A TWI511232B (zh) 2012-12-21 2013-12-18 單體靜電夾盤

Country Status (6)

Country Link
US (1) US8941969B2 (zh)
JP (2) JP6327755B2 (zh)
KR (1) KR102183029B1 (zh)
CN (2) CN104854693B (zh)
TW (1) TWI511232B (zh)
WO (1) WO2014099559A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
TWI819370B (zh) * 2020-09-08 2023-10-21 美商應用材料股份有限公司 用於沉積及蝕刻的半導體處理室

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016080502A1 (ja) * 2014-11-20 2016-05-26 住友大阪セメント株式会社 静電チャック装置
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN105481361B (zh) * 2015-12-30 2017-12-05 中国工程物理研究院化工材料研究所 Yam低介微波介质陶瓷及其制备方法
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11823940B2 (en) 2016-06-01 2023-11-21 Applied Matierals, Inc. Electrostatic chuck and manufacturing method therefor
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
JP6830030B2 (ja) 2017-04-27 2021-02-17 新光電気工業株式会社 静電チャック及び基板固定装置
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110620074A (zh) * 2018-06-19 2019-12-27 北京北方华创微电子装备有限公司 基座组件及反应腔室
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11584994B2 (en) 2019-01-15 2023-02-21 Applied Materials, Inc. Pedestal for substrate processing chambers
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks
JP7370741B2 (ja) * 2019-06-25 2023-10-30 株式会社栗本鐵工所 材料の移送装置
KR20210044074A (ko) 2019-10-14 2021-04-22 세메스 주식회사 정전 척과 이를 구비하는 기판 처리 시스템 및 정전 척의 제조 방법
CN113707591A (zh) * 2020-05-22 2021-11-26 细美事有限公司 静电卡盘和其制造方法以及基板处理装置
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
JP2638649B2 (ja) * 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
JPH07106319A (ja) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Cvd反応炉の加熱装置の電磁遮蔽方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2001077185A (ja) * 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
AU2001266561A1 (en) 2000-05-02 2001-11-12 Kerri J. Blobaum Reactive multilayer structures for ease of processing and enhanced ductility
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030073085A1 (en) * 2001-10-05 2003-04-17 Fang Lai Amplifying expressed sequences from genomic DNA of higher-order eukaryotic organisms for DNA arrays
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
DE10317792A1 (de) * 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
KR20050121913A (ko) * 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
JP4806179B2 (ja) * 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP2008042137A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd 静電チャック装置
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5080954B2 (ja) * 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103140913B (zh) * 2010-10-29 2016-09-28 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
US9082804B2 (en) * 2011-02-07 2015-07-14 Varian Semiconductor Equipment Associates, Inc. Triboelectric charge controlled electrostatic clamp
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
TWI819370B (zh) * 2020-09-08 2023-10-21 美商應用材料股份有限公司 用於沉積及蝕刻的半導體處理室
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch

Also Published As

Publication number Publication date
KR20150099796A (ko) 2015-09-01
CN104854693B (zh) 2017-11-24
JP6660658B2 (ja) 2020-03-11
JP6327755B2 (ja) 2018-05-23
JP2016503962A (ja) 2016-02-08
WO2014099559A1 (en) 2014-06-26
CN107833850A (zh) 2018-03-23
JP2018142714A (ja) 2018-09-13
KR102183029B1 (ko) 2020-11-25
US8941969B2 (en) 2015-01-27
TWI511232B (zh) 2015-12-01
US20140177123A1 (en) 2014-06-26
CN104854693A (zh) 2015-08-19

Similar Documents

Publication Publication Date Title
TWI511232B (zh) 單體靜電夾盤
JP6470807B2 (ja) 最小限のクロストークで熱的に分離されたゾーンを有する静電チャック
TWI795981B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
TWI583654B (zh) 基於稀土族氧化物的整體式腔室材料
TWI773579B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
TW201933529A (zh) 用於高溫處理之靜電吸座組件