JP2016503962A - 単体静電チャック - Google Patents

単体静電チャック Download PDF

Info

Publication number
JP2016503962A
JP2016503962A JP2015549484A JP2015549484A JP2016503962A JP 2016503962 A JP2016503962 A JP 2016503962A JP 2015549484 A JP2015549484 A JP 2015549484A JP 2015549484 A JP2015549484 A JP 2015549484A JP 2016503962 A JP2016503962 A JP 2016503962A
Authority
JP
Japan
Prior art keywords
thermally conductive
conductive base
metal layer
electrostatic chuck
heating elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015549484A
Other languages
English (en)
Other versions
JP6327755B2 (ja
Inventor
セン サチ
セン サチ
ドゥミトリー ルボミルスキー
ドゥミトリー ルボミルスキー
ジェニファー ワイ サン
ジェニファー ワイ サン
コンスタンティン マフラチェフ
コンスタンティン マフラチェフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016503962A publication Critical patent/JP2016503962A/ja
Application granted granted Critical
Publication of JP6327755B2 publication Critical patent/JP6327755B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Manipulator (AREA)

Abstract

静電チャックは、複数の加熱要素が内部に配置された熱伝導性ベースを含む。金属層が、熱伝導性ベースの少なくとも一部を覆い、金属層は、複数の加熱要素を高周波(RF)結合から遮蔽し、静電チャック用の電極として機能する。耐プラズマ性誘電体層が、金属層を覆う。

Description

本発明の実施形態は、概して、静電チャックに関する。
背景
半導体産業では、デバイスは、ますます減少したサイズの構造体を生産する多くの製造プロセスによって製造される。いくつかの製造プロセス(例えば、プラズマエッチングプロセス及びプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するためにプラズマの高速流に基板支持体(例えば、ウェハ処理時の基板支持体の縁部及びチャンバ洗浄時の基板支持体全体)を曝露させる。プラズマは、非常に腐食性がある可能性があり、処理チャンバや、プラズマに曝露される他の表面を腐食させる可能性がある。
また、従来の静電チャックは、金属冷却板にシリコーン接着されたセラミックスパックを含む。このような従来の静電チャック内のセラミックスパックは、埋め込み電極及び加熱要素を形成するために費用がかかる可能性がある多段階の製造プロセスによって製造される。
概要
一実施形態では、静電チャックは、熱伝導性ベース内に複数の加熱要素を有する熱伝導性ベースを含む。金属層が、熱伝導性ベースの少なくとも一部を覆い、金属層は、複数の加熱要素を高周波(RF)結合から遮蔽し、静電チャック用の電極として機能する。耐プラズマ性誘電体層が、金属層を覆う。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 基板支持アセンブリの一実施形態の分解図を示す。 静電チャックの一実施形態の側面図を示す。 静電チャック内の補助加熱要素の一実施形態の分解側面図を示す。 静電チャックの製造プロセスの一実施形態を示す。
実施形態の詳細な説明
本発明の実施形態は、単体の静電チャックを提供する。単体の静電チャックは、内部コンポーネントを集めたものと一連のコーティングを有する熱伝導性ベースを含む。コーティングは、クランプ電極及び/又は高周波(RF)電極として機能することができる金属層コーティングと、耐プラズマ性セラミックスである誘電体層コーティングを含むことができる。1以上の凹部を、熱伝導性ベースの上面上に形成することができる。これらの凹部は、支持される基板(例えば、チャックされたウェハ)全域に亘る温度均一性を維持するために局所的な加熱を提供することができる補助加熱要素を含むことができる。金属層は、補助加熱要素を覆うことができ、RF結合から補助加熱要素を保護するRFシールドを提供することができる。
従来の静電チャックとは異なり、単体の静電チャックは、(伝統的に電極及び加熱要素を含む)静電パックを欠くことが可能である。この結果、単体静電チャックの実施形態は、従来の静電チャックよりも安価に製造できる。また、単体静電チャックの実施形態は、従来の静電チャックと比較して改善された温度均一性を提供することができ、従来の静電チャックと比較して耐プラズマ性を向上させることができる。更に、実施形態は、急速に温度を調整することができる静電チャックを提供する。静電チャック及び支持される基板は、素早く加熱又は冷却することができ、いくつかの実施形態では、2℃/秒又はそれ以上の温度変化が可能である。これは、(例えば、ウェハが20〜30℃で処理され、次いで更なる処理のために80〜90℃まで急速に上昇されることができる)多段階プロセスで静電チャックが使用されることを可能にする。本明細書に記載される実施形態は、クーロン静電チャック用途及びジョンソン・レイベックチャック用途の両方に使用することができる。
図1は、基板支持アセンブリ148が内部に配置された半導体処理チャンバ100の一実施形態の断面図である。処理チャンバ100は、チャンバ本体102と、内部容積106を取り囲む蓋104を含む。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ本体102は、一般的に、側壁108及び底部110を含む。外側ライナー116は、チャンバ本体102を保護するために、側壁108に隣接して配置することができる。外側ライナー116は、プラズマ又はハロゲン含有ガス耐性のある材料で製造及び/又はコーティングすることができる。一実施形態では、外側ライナー116は、酸化アルミニウムから製造される。別の一実施形態では、外側ライナー116は、イットリア、イットリウム合金、又はその酸化物から製造されるか、それでコーティングされる。
排気口126は、チャンバ本体102内に画定されることができ、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、排気して処理チャンバ100の内部容積106の圧力を調整するために使用される1以上のポンプ及びスロットルバルブを含むことができる。
蓋104は、チャンバ本体102の側壁108上で支持されることができる。蓋104は、処理チャンバ100の内部容積106にアクセス可能にするために開くことができ、閉じながら処理チャンバ100に対して密閉を提供することができる。ガスパネル158は、処理チャンバ100に結合され、これによって蓋104の一部であるガス分配アセンブリ130を通して内部容積106に処理ガス及び/又は洗浄ガスを供給することができる。処理ガスの例は、とりわけハロゲン含有ガス(例えば、C、SF、SiCl、HBr、NF、CF、CHF、CH、Cl及びSiF)及び他のガス(例えば、O又はNO)を含み、処理チャンバ内で処理するために使用することができる。キャリアガスの例は、N、He、Ar、及び処理ガスに不活性な他のガス(例えば、非反応性ガス)を含む。ガス分配アセンブリ130は、ガス分配アセンブリ130の下流面上に複数の開口部132を有し、これによって基板144の表面にガス流を導くことができる。更に、ガス分配アセンブリ130は、ガスがセラミックスガスノズルを通して供給される中央孔を有することができる。ガス分配アセンブリ130は、セラミックス材料(例えば、炭化ケイ素、酸化イットリウムなど)によって製造及び/又はコーティングされ、これによってハロゲン含有化学物質に対する耐性を提供し、ガス分配アセンブリ130が腐食するのを防止することができる。
基板支持アセンブリ148は、ガス分配アセンブリ130の下方の処理チャンバ100の内部容積106内に配置される。基板支持アセンブリ148は、処理中に基板144(例えば、ウェハ)を保持する。内側ライナー118は、基板支持アセンブリ148の周縁部の上でコーティングされることができる。内側ライナー118は、ハロゲン含有ガスレジスト材料(例えば、外側ライナー116を参照して説明した材料)とすることができる。一実施形態では、内側ライナー118は、外側ライナー116と同じ材料から製造することができる。
一実施形態では、基板支持アセンブリ148は、台座152を支持する取付板162と、静電チャック150を含む。石英リング146又は他の保護リングは、静電チャック150の一部を取り囲み、覆う。静電チャック150は、複数の内部機能と複数のコーティングを有する熱伝導性ベース164を含む。一実施形態では、熱伝導性ベース164は、支持アセンブリ148の横方向の温度プロファイルを制御するための1以上の埋め込まれた加熱要素176、埋め込まれた熱絶縁体174及び/又は導管168を含む金属体(例えば、アルミニウム)である。導管168は、導管168を通して温度調節流体を循環させる流体源172に流体結合することができる。埋め込まれた熱絶縁体174は、一実施形態では、導管168間に配置することができる。加熱要素176は、ヒータ電源178によって調節される。導管168及び加熱要素176は、熱伝導性ベース164の温度を制御するために利用することができ、これによって静電チャック150及び被処理基板(例えば、ウェハ)144を加熱及び/又は冷却する。熱伝導性ベース164の温度は、コントローラ195を使用して監視することができる複数の温度センサを使用して監視することができる。
加熱要素176に加えて、熱伝導性ベース164は、1以上の補助加熱要素170を含むことができる。補助加熱要素170は、熱伝導性ベース164及び/又は基板144の目標領域に追加の熱を印加することができる局所的又はブースターヒータとすることができる。加熱要素176と補助加熱要素170を一緒に用いることによって、静電チャック150は、基板144全域に亘って高い温度均一性を(例えば、0.5℃以内に)維持することができる。
金属体164の上面は、金属層180によって覆われる。金属層180はまた、金属体164の1以上の側部を覆うことができる。金属層180は、チャッキング電源182に結合することができ、基板144を静電チャック150にクランプするクランプ電極として機能することができる。チャッキング電源は、金属層180に印加される前にローパスフィルタに通してフィルタリングされることができる直流(DC)電圧を印加することができる。
金属層180は、処理チャンバ100内で処理ガス及び/又は他のガスから形成されたプラズマを維持するために、整合回路188を介して1以上のRF電源184、186に更に結合することができる。一実施形態では、金属層180の整合回路188への結合は、同軸供給ライン(例えば、同軸チューブ)を介して行われる。同軸供給ラインは、金属チューブに包まれた絶縁チューブを含み、金属チューブは、別の絶縁チューブに包まれることができる。あるいはまた、同軸供給ラインは、絶縁層(例えば、誘電体材料)で内側と外側をコーティングされた金属チューブを含むことができる。同軸供給ラインを介して金属層180に供給されるRF電力は、金属チューブの外面全域に亘って印加することができる。(例えば、コントローラ195、ヒータ電源178、加熱要素168、補助加熱要素170等への)残りの制御線は、同軸供給ラインの内部を通る。したがって、制御線は、金属チューブによってRF結合から遮蔽される。
1以上のDCブロッキングコンデンサ186を、整合回路188と金属層180との間に介在させることができる。電源184、186は、一般的に、約50kHz〜約3GHzの周波数と最大約10,000ワットの電力を有するRF信号を生成することができる。一実施形態では、RF信号が金属層180に印加され、交流電流(AC)がヒータに印加され、直流電流(DC)がまた金属層180に印加される。あるいはまた、別個のRF電極が、熱伝導性ベース164内に埋め込まれてもよく、整合回路188は、別個のRF電極に結合されてもよい。
金属層180は、耐プラズマ性であることが可能な誘電体層136によって被覆される。一実施形態では、誘電体層136は、金属層180上の金属体164の上面上に配置される。別の一実施形態では、誘電体層136は、金属体164の側部に延び、また、側部上の金属層180を覆う。
誘電体層136は、Y(イットリア又は酸化イットリウム)、YAl(YAM)、Al(アルミナ)、YAl12(YAG)、YAlO(YAP)、石英、SiC(炭化ケイ素)、Si(窒化ケイ素)、サイアロン、AlN(窒化アルミニウム)、AlON(酸窒化アルミニウム)、酸化チタン(チタニア)、ZrO(ジルコニア)、TiC(炭化チタン)、ZrC(炭化ジルコニウム)、TiN(窒化チタン)、TiCN(チタンカーボンナイトライド)、Y安定化ZrO(YSZ)などの蒸着(堆積)された、溶射された又は成長されたセラミックスとすることができる。誘電体層136はまた、Alのマトリックス中に分散されたYAl12、Y−ZrO固溶体、又はSiC−Si固溶体などのセラミックス複合体とすることができる。誘電体層136はまた、酸化イットリウム(イットリア及びYとして知られる)含有固溶体を含むセラミックス複合材料とすることができる。例えば、誘電体層136は、化合物YAl(YAM)と固溶体Y2−xZr(Y−ZrO固溶体)で構成される高機能材料(HPM)とすることができる。なお、純酸化イットリウム並びに酸化イットリウム含有固溶体は、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物のうちの1以上をドープすることができることに留意すべきである。また、純窒化アルミニウム、並びにZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物のうちの1以上をドープした窒化アルミニウムを使用できることにも留意すべきである。あるいはまた、誘電体層は、サファイア又はMgAlONとすることができる。
一実施形態では、誘電体層は、Y粉末、ZrO粉末、及びAl粉末の混合物から製造されるHPMセラミックス複合材料である。一実施形態では、HPMセラミックス複合材料は、77%のY、15%のZrO、及び8%のAlを含む。別の一実施形態では、HPMセラミックス複合材料は、63%のY、23%のZrO、及び14%のAlを含む。更に別の一実施形態では、HPMセラミックス複合材料は、55%のY、20%のZrO、及び25%のAlを含む。相対的な割合は、モル比であってもよい。例えば、HPMセラミックス複合材料は、77モル%のY、15モル%のZrO、及び8モル%のAlを含んでもよい。これらのセラミックス粉末の他の配分もまた、HPM材料用に使用することができる。
絶縁体(例えば、誘電体材料)である取付板162は、チャンバ本体102の底部110に取り付けられ、ユーティリティ(例えば、流体、電力線、センサのリード線など)を熱伝導性ベース164へルーティングするための通路を含む。誘電体層136は、複数のガス通路(例えば、溝、メサ、及びパック166及び/又は保護層の上面に形成することができる他の表面構造)を更に含むことができる。ガス通路は、熱伝導性ベース164、金属層180、及び誘電体層136内に穿孔された孔(図示せず)を介して熱伝達(又は裏面)ガス(例えば、He)の供給源に流体結合されることが可能である。これらの孔は、セラミックスプラグで充填することができる。セラミックスプラグは、多孔質とすることができ、ヘリウムの流れを許容することができる。しかしながら、セラミックスプラグは、流れたプラズマのアーク放電を防止することができる。動作中、裏面ガスは、ガス通路内に制御された圧力で供給され、これによって静電チャック150と基板144との間の熱伝達を向上させることができる。
図2は、基板支持アセンブリ148の一実施形態の分解図を示す。基板支持アセンブリ148は、静電チャック150及び台座152の分解図を示す。静電チャック150は、金属層(図示せず)によって覆われた熱伝導性ベース164と、誘電体層136を含む。熱伝導性ベース164は、上に位置する基板144の形状及び大きさに実質的に一致することができる環状の周縁部222を有する円盤状の形状を有する。一実施形態では、熱伝導性ベース164は、金属(例えば、アルミニウム、ステンレス鋼)又は他の好適な材料から製造することができる。代替の一実施形態では、熱伝導性ベース164は、熱伝導性セラミックスとすることができる。例えば、熱伝導性ベース164は、セラミックスの複合材料(例えば、アルミニウム−ケイ素合金浸潤SiC又はモリブデン)から製造することができる。熱伝導性ベース164は、良好な強度及び耐久性、並びに熱伝達特性を提供するべきである。保護層136の上面206は、外側リング216、複数のメサ210、及びメサ間のチャネル208、212を有することができる。
図3は、静電チャック150の断面側面図である。図3を参照すると、静電チャック150の熱伝導性ベース164は、複数の加熱要素176、1以上の熱障壁174、及び導管168を含み、これによって熱伝導性ベース164及び基板144の全域に亘って比較的均一な温度を維持する。熱伝導性ベース164を加熱するために、加熱要素176に電圧を印加することができ、温度制御のための導管を通して加熱又は冷却された液体を流すことができる。熱伝導性ベース164は、熱障壁174を使用することによって、複数の熱的に分離されたゾーンに分割することができる。一実施形態では、熱障壁174は、エアギャップである。あるいはまた、熱障壁174は、低い熱伝導体(例えば、シリコーン又はガラス)である材料を含むことができる。
一実施形態では、加熱要素176は、誘電体材料310によって、熱伝導性ベース164から電気的に絶縁される。一実施形態では、加熱要素176は、金属体164の下面内に凹部を形成することによって形成される。凹部は、部分的に誘電体材料310で充填することができ、その後、加熱要素176を凹部内に配置又は形成することができる。加熱要素176は、堆積された金属(例えば、タングステン、アルミニウム、又はモリブデン)とすることができる。あるいはまた、加熱要素176は、凹部内に配置することができる金属ワイヤ又はトレースとすることができる。凹部は、その後、誘電体材料310で充填することができる。
熱伝導性ベース164は、加熱要素176に加えて、1以上の補助加熱要素170を含むことができ、これらのすべては、抵抗加熱要素とすることができる。補助加熱要素は、加熱要素176よりも低い消費電力とすることができ、支持された基板144の目標領域の温度を調節するために使用することができる。図示されるように、補助加熱要素170は、上面に比較的近い熱伝導性ベース164の上面内の凹部315内に配置することができる。こうして、加熱要素176及び補助加熱要素170は、熱伝導性ベース164内の異なる平面上に位置することができる。凹部315は、エッチング又は機械加工プロセスによって形成することができる。凹部315を形成した後、誘電体材料を堆積し、続いて補助加熱要素170の堆積をすることができる。誘電体材料は、例えば、酸化アルミニウム、酸化イットリウム、酸化マグネシウム、又は他の誘電体材料とすることができる。補助加熱要素は、堆積された金属とすることができる。補助加熱要素に使用される金属は、好ましくは、低い膨張係数を有する非RF加熱金属である。補助加熱要素170用に使用することができる金属の例は、モリブデン、アルミニウム、及びタングステンを含む。補助加熱要素170は、同心又は非同心円の形状、局所的な楕円形、又は他の形状を有することができる。局所的な加熱要素の数量及び配置は、温度の均一性のための温度の微調整を容易にするために戦略的に選択することができる。
金属層180は、金属体164の上面上に、並びに補助加熱要素170上に形成される。一実施形態では、金属層は、熱伝導性ベース164の上面及び側壁を覆う。また、金属層は、熱伝導性ベース164の下面の一部を覆うことができる。熱伝導性ベース164が導電性でもある(例えば、金属である)実施形態では、金属層180及びベース164は、補助加熱要素170の周りにRFエンベロープ又はRFボックスを形成する。これは、補助加熱要素170をRF結合から遮蔽することができる。このようなRF結合は、防止されない場合は、補助加熱要素を制御不能に加熱させ、静電チャック150及び/又は基板144に熱い領域及び/又は損傷をもたらす可能性がある。
金属層180は、熱伝導性ベース164の底部側の導電性表面に電気的に結合することができる。熱伝導性ベース164が金属の場合、金属層180は、金属に電気的に結合することができる。金属層180は、電気的な接続を介してRF信号及び/又はクランプ用のDC電圧を受け取ることができる。こうして、金属層180は、クランプ電極又はRF電極の一方又は両方として作用することができる。一実施形態では、金属層180は、約20〜50ミルの厚さを有する。しかしながら、代替の一実施形態では、金属層は、より厚く又はより薄くてもよい。
誘電体層136は、金属層180の上に形成される。誘電体層136の厚さは、所望の誘電特性(例えば、特定の絶縁破壊電圧)を提供するように選択することができる。一実施形態では、静電チャックがクーロンモードで使用される場合、誘電体層は、約150ミクロン〜1mmの間(例示的な一実施形態では、約200〜300ミクロン)の厚さを有する。静電チャックがジョンソン・レイベックモードで使用される場合、誘電体層は、約1mm〜約1.5mmの厚さを有することができる。
前述したように、誘電体層136は、溶射、蒸着又はスパッタリング等された金属とすることができる。一実施形態では、誘電体層は、プラズマ処理中に(基板とパックとの間の熱特性の不一致に起因する相対運動による)摩耗に抵抗する高い硬度を有するHPMセラミックス複合材料である。一実施形態では、HPMセラミックス複合材料は、約5GPa〜約11GPaの間のビッカース硬さ(5Kgf)を提供する。一実施形態では、HPMセラミックス複合材料は、約9〜10GPaのビッカース硬さを提供する。また、HPMセラミックス複合材料は、一実施態様では、約4.90g/cmの密度、約215MPaの曲げ強度、約1.6MPa・m1/2の破壊靱性、約190GPaのヤング率、約8.5×10−6/K(20〜900℃)の熱膨張率、約3.5W/mKの熱伝導率、約15.5の誘電率(20℃、13.56MHzで測定)、約11×10−4の誘電正接(20℃、13.56MHz)、室温で1015Ω・cmより大きい体積抵抗率を有することができる。
別の一実施形態では、誘電体層は、YAGである。別の一実施形態では、誘電体層は、サファイアである。更に別の一実施形態では、誘電体層は、イットリウム・アルミニウム酸化物(YAl)である。
メサ(図示せず)を、誘電体層136の表面上に形成することができ、誘電体層146、金属層180、及び熱伝導性ベース164は、ヘリウムの流れのための孔(図示せず)を含むことができる。他の構造(例えば、シールバンド及びヘリウム溝)もまた、誘電体層146の表面上に形成することができる。誘電体層136が熱伝導性ベース164の上に形成された後に、孔、メサ、及び/又は他の構造を形成することができる。一実施形態では、メサは、誘電体層136の表面をビードブラスト、塩ブラスト、又は別な方法で粗面化することによって形成される。一実施形態では、孔は、層及び熱伝導性ベース164内にレーザ穿孔される。
図4は、静電チャック150内の補助加熱要素170の一実施形態の分解側面図400を示す。補助加熱要素170は、誘電体材料405の第1層の上の熱伝導性ベース184内の凹部内に形成される。その後、誘電体材料405の1以上の追加の層が、補助加熱要素170の上に形成される。誘電体材料405は、堆積されたセラミックス(例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、炭化ケイ素(SiC)、イットリア(Y)、酸化マグネシウム(MgO)など)とすることができる。あるいはまた、誘電体材料は、他の誘電体材料(例えば、他の酸化物)とすることができる。補助加熱要素170の上に堆積された金属層180は、補助加熱要素170をRF結合から遮蔽することができる。耐プラズマ性誘電体層136は、金属層180の上に配置することができる。耐プラズマ性誘電体層136は、静電吸着を行うのに十分な絶縁破壊電圧を提供することができ、プラズマによる攻撃から静電チャック150を保護することができる。
図5は、静電チャックを製造するためのプロセス500の一実施形態を示す。プロセス500のブロック505では、熱伝導性ベースが提供される。提供される熱伝導性ベースは、内部コンポーネント(例えば、加熱要素、熱断層、及び/又は冷却及び/又は加熱するための内部チャネル)を有する金属ディスクとすることができる。これらの内部要素は、例えば、機械加工、エッチング及び堆積プロセスを使用して、金属ベース内に形成することができる。例えば、補助加熱要素を参照して以下に説明する操作は、加熱要素を形成するために、熱伝導性ベースの下面に実行してもよい。
ブロック510では、熱伝導性ベースの上面内に凹部が形成される。凹部は、エッチング又は機械加工によって形成することができる。ブロック512では、誘電体材料の層が、凹部内に堆積される。誘電体材料の層は、一実施形態では、熱伝導性ベースの上面全体の上に堆積させることができる。ブロック515では、補助加熱要素が、誘電体材料の上の凹部内に形成される。これは、誘電体材料の層の上に金属層を堆積させることによって実行することができる。金属層の堆積は、プラズマ溶射、物理蒸着(PVD)、スパッタリング、又は金属を使用する他の堆積プロセスによって塗布することができる。その後、金属層は、凹部を除いて、熱伝導性ベースから除去することができる。これは、例えば、エッチングする部分を画定するためにリソグラフィを使用し、その後、凹部の内部以外の金属層をエッチング除去することによって実行することができる。
ブロック520では、凹部は、誘電体材料で(又は別の誘電体材料で)充填される。これは、熱伝導性ベースの上面並びに凹部内に誘電体材料を堆積することを含むことができる。誘電体材料の堆積後、熱伝導性ベースの被覆された表面は、誘電体材料を除去するために研削又は研磨することができる。これは、凹部が完全に誘電体材料によって充填されながら、熱伝導性ベースのほぼ平坦な上面をもたらすことができる。
ブロック525では、金属層が、熱伝導性ベースの上面上に堆積される。金属層の堆積は、金属をプラズマ溶射、PVD、スパッタリング等することによって塗布することができる。
ブロック530では、金属層を覆うために、誘電体層が熱伝導性ベースの上面上に堆積される。誘電体層は、耐プラズマセラミックス(例えば、先に説明したようなもの)とすることができる。誘電体層の堆積は、プラズマ溶射、ゾル−ゲル、エアロゾルデポジション、PVD、又は化学蒸着(CVD)プロセスによって塗布することができる。また、これらのプロセスの2以上の組み合わせを、誘電体層を形成するために実行することができる。堆積された誘電体層は、熱伝導性ベースの上面並びに熱伝導性ベースの側壁を覆うことができる。一実施形態では、誘電体層は、堆積後に(例えば、化学的機械的平坦化(CMP)又は他の研磨技術を用いて、)特定の厚さまで研磨/研削する。誘電体層のための最終的な厚さは、例えば、10〜20ミルとすることができる。
ブロック530では、構造が、誘電体層に形成される。これは、(ビードブラスト又は塩ブラストなどによって)誘電体層の上面上に形成されるメサを形成することを含むことができる。これはまた、誘電体層、金属層及び/又は熱伝導性ベース内にある孔を(例えば、レーザドリル加工によって)穿孔することを含むことができる。その後、孔の中にプラグを形成してもよい。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、構成要素、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例では、周知の構成要素又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発明の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。用語「約」又は「およそ」は、本明細書で使用される場合、これは、提示された公称値が±10%以内で正確であることを意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法とすることができる。一実施形態では、複数の金属接合操作は、単一工程として実行される。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える等価物の全範囲と共に参照して決定されるべきである。

Claims (15)

  1. 熱伝導性ベースと、
    熱伝導性ベース内の複数の加熱要素と、
    熱伝導性ベースの少なくとも一部を覆う金属層であって、金属層は、複数の加熱要素を高周波(RF)結合から遮蔽し、静電チャック用の電極として機能する金属層と、
    金属層を覆う耐プラズマ性誘電体層とを含む静電チャック。
  2. 耐プラズマ性誘電体層は、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物を含む請求項1記載の静電チャック。
  3. 複数の加熱要素は、熱伝導性ベース内に埋め込まれた複数の主加熱要素と、熱伝導性ベースの上面内に形成された凹部内に配置された複数の補助加熱要素とを含む請求項1記載の静電チャック。
  4. 複数の補助加熱要素は、複数の凹部を充填する誘電体材料内に包まれており、金属層は、誘電体材料を覆う請求項3記載の静電チャック。
  5. 金属層は、熱伝導性ベースの上面及び側壁を覆い、熱伝導性ベースは金属を含む請求項1記載の静電チャック。
  6. 金属層は、チャック電極とRF電極の両方として機能する請求項1記載の静電チャック。
  7. 金属層は、約20〜50ミルの厚さを有し、耐プラズマ性誘電体層は、約10〜20ミルの厚さを有する請求項1記載の静電チャック。
  8. 複数の加熱要素は、処理中に支持された基板上で0.5℃未満の温度変動を静電チャックに維持させる請求項1記載の静電チャック。
  9. 熱伝導性ベースを提供する工程と、
    熱伝導性ベースの上面内に複数の凹部を形成する工程と、
    複数の凹部内に複数の補助加熱要素を形成する工程と、
    複数の凹部を誘電体材料で充填する工程と、
    熱伝導性ベースの上面上に金属層を堆積させ
    る工程であって、金属層は、複数の補助加熱要素を高周波(RF)結合から遮蔽し、静電チャック用電極として機能する工程と、
    金属層を耐プラズマ性誘電体層で被覆する工程とを含む静電チャックの製造方法。
  10. 複数の凹部内に複数の補助加熱要素を形成する前に、複数の凹部内部に誘電体材料の層を堆積させる工程を含み、誘電体材料は、複数の補助加熱要素を熱伝導性ベースから電気的に分離する請求項9記載の方法。
  11. 複数の補助加熱要素を形成する工程は、複数の凹部内の誘電体層の上に非RF加熱金属である金属を堆積させる工程を含む請求項10記載の方法。
  12. 金属層を堆積させる工程は、プラズマ溶射プロセス、プラズマPVDプロセス、又はスパッタリングプロセスを用いて、モリブデン、タングステン、又はアルミニウムのうちの少なくとも1つを堆積させる工程を含む請求項9記載の方法。
  13. 金属層を耐プラズマ性誘電体層で覆う工程は、プラズマ溶射プロセス、ゾルゲルプロセス、エアロゾルスプレープロセス、又は物理蒸着(PVD)プロセスを実行する工程を含む請求項9記載の方法。
  14. 耐プラズマ性誘電体層は、イットリウムが支配的なセラミックスを含む請求項9記載の方法。
  15. イットリウムが支配的なセラミックスは、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物を含む請求項14記載の方法。
JP2015549484A 2012-12-21 2013-12-11 単体静電チャック Expired - Fee Related JP6327755B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/725,449 2012-12-21
US13/725,449 US8941969B2 (en) 2012-12-21 2012-12-21 Single-body electrostatic chuck
PCT/US2013/074494 WO2014099559A1 (en) 2012-12-21 2013-12-11 Single-body electrostatic chuck

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018078196A Division JP6660658B2 (ja) 2012-12-21 2018-04-16 単体静電チャック

Publications (2)

Publication Number Publication Date
JP2016503962A true JP2016503962A (ja) 2016-02-08
JP6327755B2 JP6327755B2 (ja) 2018-05-23

Family

ID=50974369

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015549484A Expired - Fee Related JP6327755B2 (ja) 2012-12-21 2013-12-11 単体静電チャック
JP2018078196A Active JP6660658B2 (ja) 2012-12-21 2018-04-16 単体静電チャック

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018078196A Active JP6660658B2 (ja) 2012-12-21 2018-04-16 単体静電チャック

Country Status (6)

Country Link
US (1) US8941969B2 (ja)
JP (2) JP6327755B2 (ja)
KR (1) KR102183029B1 (ja)
CN (2) CN104854693B (ja)
TW (1) TWI511232B (ja)
WO (1) WO2014099559A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109643682A (zh) * 2016-06-01 2019-04-16 应用材料公司 静电卡盘及其制造方法
JP2020506508A (ja) * 2017-01-20 2020-02-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高周波隔離ヒータを有する静電チャック
US10861732B2 (en) 2017-04-27 2020-12-08 Shinko Electric Industries Co., Ltd. Electrostatic chuck and substrate fixing device
JP2021003747A (ja) * 2019-06-25 2021-01-14 株式会社栗本鐵工所 材料の移送装置
JP2021515392A (ja) * 2018-02-23 2021-06-17 ラム リサーチ コーポレーションLam Research Corporation セラミックベースプレートを備えるマルチプレート静電チャック
WO2023282982A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc. Coated substrate support assembly for substrate processing
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
JP7493516B2 (ja) 2019-01-15 2024-05-31 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN107004626B (zh) * 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 静电卡盘装置
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) * 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN105481361B (zh) * 2015-12-30 2017-12-05 中国工程物理研究院化工材料研究所 Yam低介微波介质陶瓷及其制备方法
JP6877133B2 (ja) * 2016-03-28 2021-05-26 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
TWI791558B (zh) * 2017-07-27 2023-02-11 美商應用材料股份有限公司 用於半導體基板處理室的溫度控制的方法、非暫時性機器可讀儲存媒體以及系統
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
CN108054074A (zh) * 2018-01-11 2018-05-18 北京北方华创微电子装备有限公司 一种基座以及包括该基座的半导体处理设备
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN110620074A (zh) * 2018-06-19 2019-12-27 北京北方华创微电子装备有限公司 基座组件及反应腔室
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11673161B2 (en) * 2019-03-11 2023-06-13 Technetics Group Llc Methods of manufacturing electrostatic chucks
KR20210044074A (ko) 2019-10-14 2021-04-22 세메스 주식회사 정전 척과 이를 구비하는 기판 처리 시스템 및 정전 척의 제조 방법
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077185A (ja) * 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
JP2008042197A (ja) * 2006-08-01 2008-02-21 Applied Materials Inc 耐プラズマ性のための保護層を有する基板サポート
JP2010109316A (ja) * 2008-03-11 2010-05-13 Tokyo Electron Ltd 載置台構造及び処理装置

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
JP2638649B2 (ja) * 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
JPH07106319A (ja) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Cvd反応炉の加熱装置の電磁遮蔽方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
KR100471728B1 (ko) * 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
ATE491825T1 (de) 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
IL152516A0 (en) 2000-05-02 2003-05-29 Univ Johns Hopkins Freestanding reactive multilayer foils
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030073085A1 (en) * 2001-10-05 2003-04-17 Fang Lai Amplifying expressed sequences from genomic DNA of higher-order eukaryotic organisms for DNA arrays
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
DE10317792A1 (de) * 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
JP2005243243A (ja) * 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) * 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
KR20050121913A (ko) * 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
JP4806179B2 (ja) * 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
JP2008042137A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd 静電チャック装置
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5080954B2 (ja) * 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012057987A2 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Deposition ring and electrostatic chuck for physical vapor deposition chamber
US9082804B2 (en) * 2011-02-07 2015-07-14 Varian Semiconductor Equipment Associates, Inc. Triboelectric charge controlled electrostatic clamp
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077185A (ja) * 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP2008016795A (ja) * 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
JP2008042197A (ja) * 2006-08-01 2008-02-21 Applied Materials Inc 耐プラズマ性のための保護層を有する基板サポート
JP2010109316A (ja) * 2008-03-11 2010-05-13 Tokyo Electron Ltd 載置台構造及び処理装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11823940B2 (en) 2016-06-01 2023-11-21 Applied Matierals, Inc. Electrostatic chuck and manufacturing method therefor
JP2019523989A (ja) * 2016-06-01 2019-08-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 静電チャック及び静電チャックのための製造方法
CN109643682A (zh) * 2016-06-01 2019-04-16 应用材料公司 静电卡盘及其制造方法
JP2020506508A (ja) * 2017-01-20 2020-02-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高周波隔離ヒータを有する静電チャック
US10861732B2 (en) 2017-04-27 2020-12-08 Shinko Electric Industries Co., Ltd. Electrostatic chuck and substrate fixing device
US11848177B2 (en) 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
JP2021515392A (ja) * 2018-02-23 2021-06-17 ラム リサーチ コーポレーションLam Research Corporation セラミックベースプレートを備えるマルチプレート静電チャック
JP7453149B2 (ja) 2018-02-23 2024-03-19 ラム リサーチ コーポレーション セラミックベースプレートを備えるマルチプレート静電チャック
JP7493516B2 (ja) 2019-01-15 2024-05-31 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
JP7370741B2 (ja) 2019-06-25 2023-10-30 株式会社栗本鐵工所 材料の移送装置
JP2021003747A (ja) * 2019-06-25 2021-01-14 株式会社栗本鐵工所 材料の移送装置
WO2023282982A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc. Coated substrate support assembly for substrate processing

Also Published As

Publication number Publication date
US8941969B2 (en) 2015-01-27
CN104854693B (zh) 2017-11-24
KR102183029B1 (ko) 2020-11-25
JP6660658B2 (ja) 2020-03-11
TWI511232B (zh) 2015-12-01
KR20150099796A (ko) 2015-09-01
CN107833850A (zh) 2018-03-23
JP6327755B2 (ja) 2018-05-23
US20140177123A1 (en) 2014-06-26
JP2018142714A (ja) 2018-09-13
WO2014099559A1 (en) 2014-06-26
CN104854693A (zh) 2015-08-19
TW201430994A (zh) 2014-08-01

Similar Documents

Publication Publication Date Title
JP6660658B2 (ja) 単体静電チャック
JP6470807B2 (ja) 最小限のクロストークで熱的に分離されたゾーンを有する静電チャック
JP7030143B2 (ja) 高温処理用静電チャックアセンブリ
KR102422715B1 (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
US10177023B2 (en) Protective cover for electrostatic chuck
KR102171714B1 (ko) 공정 고리들 상에 희토류 옥사이드 기반 박막 코팅을 위한 이온 보조 증착
US7667944B2 (en) Polyceramic e-chuck
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
US20160049323A1 (en) Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
JP2016502276A (ja) 耐プラズマ保護層を有する基板支持アセンブリ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160907

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170913

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180416

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180416

R150 Certificate of patent or registration of utility model

Ref document number: 6327755

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees