CN103959463A - 片上电容器及其组装方法 - Google Patents

片上电容器及其组装方法 Download PDF

Info

Publication number
CN103959463A
CN103959463A CN201180075204.6A CN201180075204A CN103959463A CN 103959463 A CN103959463 A CN 103959463A CN 201180075204 A CN201180075204 A CN 201180075204A CN 103959463 A CN103959463 A CN 103959463A
Authority
CN
China
Prior art keywords
electrode
hole
capacitor
dielectric layer
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075204.6A
Other languages
English (en)
Other versions
CN103959463B (zh
Inventor
M·A·蔡尔兹
K·J·费希尔
S·S·纳塔拉詹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN103959463A publication Critical patent/CN103959463A/zh
Application granted granted Critical
Publication of CN103959463B publication Critical patent/CN103959463B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

一种片上电容器,在后端金属化体之上的无源层中制造有半导电衬底。在片上电容器中配置有至少三个电极,电源通孔和接地通孔与至少三个电极中的至少两个耦合。第一通孔具有到第一电极、第二电极和第三电极中的至少一个的第一耦合配置,第二通孔具有到第一电极、第二电极和第三电极中的至少一个的第二耦合配置。

Description

片上电容器及其组装方法
技术领域
所公开的实施例涉及片上电容器。
附图说明
为了理解获得实施例的方式,将通过参考附图对以上简略描述的各个实施例的更具体描述进行呈现。这些附图描绘了实施例,其不必按比例绘制并且不被认为限制范围。将通过使用附图利用额外的特异性和细节来描述和解释一些实施例,其中:
图1是根据示例性实施例的片上电容器的截面正视图;
图1xy是根据示例性实施例的图1所示的电容器结构的剖视俯视图;
图1a是根据示例性实施例的在处理过程中的片上电容器的截面正视图;
图1b是根据示例性实施例的图1a所示的片上电容器在进一步处理之后的截面正视图;
图1c是根据示例性实施例的图1b所示的片上电容器在进一步处理之后的截面正视图;
图1d是根据示例性实施例的图1c所示的片上电容器在进一步处理之后的截面正视图;
图2-8是根据若干示例性实施例的片上电容器的截面正视图;
图9是根据示例性实施例的过程和方法流程图;以及
图10是根据示例性实施例的计算机系统的示意图。
具体实施方式
公开了一种组装偏移插入器并将其与微电子设备耦合为芯片封装的过程。偏移插入器实施例允许芯片封装设计者解耦在封装过程中例如在逻辑设备和存储器设备之间的接口挑战。
现在将参考附图,其中相似的结构将设置相似的后缀参考编号。为了更清楚地示出各个实施例的结构,本文所包含的附图是组装到偏移插入器实施例中的集成电路芯片的图解表示。因此,例如在显微照片中,所制造的单独的或是芯片封装内的芯片衬底的实际样子例如在显微照片中看起来可能不同,但是仍合并了图示实施例的要求保护的结构。此外,附图仅示出了对于理解图示实施例有用的结构。为了维持附图的清晰性,没有包括本领域中已知的额外结构。
图1是根据示例性实施例的片上电容器100的截面正视图。半导电衬底110包括有源表面112和背部表面114以及后端(BE)金属化体(metallization)116。在实施例中,半导电衬底110是一种半导体材料,例如但是不限于硅(Si)、硅锗(SiGe)、锗(Ge)或者III-V化合物半导体。半导电衬底110可以是单晶的、外延结晶、或者多晶的。在实施例中,半导电衬底110是一种半导体异质结构,例如但不限于绝缘体上硅(SOI)衬底、或者包括硅、硅锗、锗、III-V化合物半导体及其任意组合的多层衬底。有源设备位于有源表面112处,并且它们指的是例如但不限于门、晶体管、整流器、以及形成集成电路的部分的绝缘结构的部件。有源设备由BE金属化体115耦合作为功能电路。
BE金属化体116还可以称作BE互连堆栈。在实施例中,半导电衬底110是例如由加利福尼亚州的圣克拉拉市的英特尔公司制造的处理器管芯。BE金属化体116可以包括例如从金属-1(M1)直到金属-n(Mn)118的金属层,例如M11,但是并不限于M11。在实施例中,上金属化体轨迹118是M11金属化体118。BE金属化体116被以简化的形式示出,但是它包括由多层层间介电(ILD)材料彼此隔离开的多级互连。
片上电容器结构120布置在BE金属化体116的上面。在实施例中,通孔蚀刻停止第一层122形成在上金属化体轨迹118上,就像它通过BE金属化体116而被暴露。之后,第一通孔层间介电层(VILD)124布置在上金属化体轨迹118之上。在该实施例中,第一VILD124布置在通孔蚀刻停止第一层122的上面。在实施例中,通孔蚀刻停止第一层122由例如碳化硅的材料制成。可依赖于所公开实施例的给定的有用应用来选择用于通孔蚀刻停止第一层122的其它材料。
在实施例中,片上电容器结构120是一种在BE金属化体116上组装的无源化结构。无源化结构包括与其集成的片上电容器结构120。在实施例中,底部无源化结构是第一VILD124,而顶部无源化结构是第二VILD136。
图案化第一电极126布置在第一VILD124上。电容器第一介电层128共形地布置在图案化第一电极126之上。可以看出,拓扑图开始于电容器第一介电层128,其完全覆盖图案化第一电极126以及第一VILD124的暴露部分。图案化第二电极130共形地布置在电容器第一介电层128之上,并且具有与图案化第一电极126基本平行平面的有效部分。电容器第二介电层132共形地布置在图案化第二电极130之上。图案化第三电极134共形地布置在电容器第二介电层132之上,并具有与图案化第二电极130基本平行平面的有效部分。在形成图案化第三电极134期间,还形成有伪第三电极135。第二VILD136布置在电容器第二介电层132以及图案化第三电极134和伪第三电极135之上。
可以选择导电材料来获得有用的电容器实施例。在实施例中,电极126、130和134由金属制成。在实施例中,电极是铜组合物。在实施例中,电极是氮化钛(TixNy)组合物,其中x和y可以被选择以组成化学计量比或非化学计量比。在实施例中,电极由钛制成。在实施例中,电极由钽制成。在实施例中,第一电极126是氮化钽(TaxNy)组合物,其中x和y可以被选择组成化学计量比或非化学计量比。
在实施例中,电极厚度在从20到50纳米(nm)的范围内。例如,封端电极(terminated electrode)的厚度可以不同于浮子电极(floater electrode)的厚度。可以选择介电材料来获得有用的电容器实施例。在实施例中,使用高k介电质(k>6)。在实施例中,电容器介电材料是氧化物。在实施例中,电容器介电材料是二氧化硅(SiO2)。在实施例中,电容器介电材料是氧化铪(HfxOy),其中x和y可以被选择以组成化学计量比或非化学计量比。在实施例中,电容器介电材料是氧化铝(AlxOy),其中x和y可以被选择以组成化学计量比或非化学计量比。
在实施例中,电容器介电材料是使用锆钛酸铅(PZT)材料。在实施例中,电容器介电材料是使用钛酸锶钡(BST)材料。
在实施例中,使用氧化物混合物,例如一种氧化物用于电容器第一介电层128,而不同的氧化物用于电容器第二介电层132。在实施例中,给定的电容器介电层是两种或更多氧化物的混合物。在示例性实施例中,电容器第一介电层128是氧化铪,而电容器第二介电层132是氧化铝。通过这些例子,现在可以理解的是,电容器第一介电层128可以是如氧化铪的第一组合物,而电容器第二介电层132可以是如氧化铝的第二组合物。一层可以与另一层“相同”,例如化学性质相同。一层可以与另一层“不同”,例如相同的定性化学特性反应但是不同的化学计量。一层可以与另一层“不同”,例如不同的定性化学特性,如在一个中是氧化铪,而在另一个中是氧化铝。一层可以与另一层“不同”,例如不同的定性化学特性,如在一个中是氧化铪,而在另一个中是氧化铝和氧化铪的混合物。一层可以与另一层“不同”,例如不同的定性化学特性,如在一个中是氧化铝,而在另一个中是氧化铝和氧化铪的混合物。通过这些实施例,应该理解的是,在若干电极之间不同的电容是有用的时,可以混合和匹配其它介电材料。在半导电衬底110和外部世界之间电耦合电容器结构120是通过电源通孔138和接地通孔140完成的。如该实施例中所示,电源通孔138与图案化第一电极126和图案化第三电极134接触。在该实施例中,接地是通过在接地通孔140和图案化第二电极130之间直接接触完成的。
在实施例中,通孔138和140具有通孔衬里粘合层139,其帮助在通孔和相邻结构之间进行有用的结合。通孔衬里粘合层139的形成可通过诸如钛或钨的衬里材料的化学气相沉积完成。在实施例中,粘合层139是钛。在实施例中,粘合层139是钨钛(TiW)。在实施例中,粘合层139是钽。根据实施例,粘合层139的厚度在从50到500埃()的范围内是有用的。
在实施例中,通孔138和140填充有铜触点。在实施例中通孔138和140到外部世界的电耦合是通过电突起192实现的,电突起192布置在与通孔接触的衬垫190上。电突起192可以是受控塌陷芯片连接(C4)的一部分,所述受控塌陷芯片连接能够附到芯片封装衬底的导电轨迹上。在实施例中,电突起192的直径在从50到100μm的范围内。
可以理解的是,片上电容器结构120可以在第二VILD136之上耦合到其它材料而不是到突起。例如,可以在第二VILD136之上制造额外的BE金属化体。在实施例中,通孔138和140的上部(Z方向)与金属化体轨迹或触点接触,而不是如图所示的衬垫190。对于该实施例的进一步说明在图2处进行阐述和图示。
在本公开中继续前进,其它的片上电容器实施例(图2-8所公开和图示的)可以具有衬里粘合层139以及耦合到衬垫190的电突起。
现在可以理解的是,电源通孔138可以被称作第一通孔138,其具有到第一电极126、第二电极130和第三电极134中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极126和图案化第三电极134。类似地但根据该实施例,接地通孔140可以被称作第二通孔140,其具有到第一电极126、第二电极130和第三电极134中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第二电极130。因此可以理解的是,到第一通孔138的第一耦合配置不同于到第二通孔140的第二耦合配置。
现在还可以理解的是,第一通孔138和第二通孔140中的每个分别在半导电衬底110和外部世界之间连接的同时穿透和接触两个金属层。在图1中,第一通孔138穿透和接触在第一电极电源接触点142(也称作电极端子区域142)和第三电极电源接触点144处(也称作电极端子区域144)。而且,第二通孔140穿透和接触在第二电极接地接触点146和第三伪电极接触点148处。
在实施例中,片上电容器100用作去耦电容器。去耦电容器可以形成在电源(+V)和地面(gnd)之间,以对在半导电衬底110中发现的电路去耦,而不改变或影响硅中现有的电路布局。在实施例中,片上电容器100用作噪声滤波器。在实施例中,片上电容器100用作传感器。电路图194示出了片上电容器100的功能设计。片上电容器100表示金属-绝缘体-金属-绝缘体-金属(MIMIM or MIM IM1)结构。
电路图194示出了并联的+V板,其以较低的最大电压(Vmax)强加有用的较高电容。如“较高”、“适中”和“较低”的术语是用于和传统的简单电容器比较以及和所公开的其它实施例比较的相对术语。
在本公开中继续前进,应该理解的是,对所公开的片上电容器100的材料、方法和使用可以应用到后续公开的片上电容器。
图1xy是根据示例性实施例的图1所示的电容器结构120的剖视俯视图。出于图示的目的,为了更好地描述所选择的结构,若干结构未示出。所示出的图案化第二电极130局部被第三电极134(如假象线)所模糊。如图所示,图案化第三电极134与电源通孔138接触,图案化第二电极130与接地通孔140接触。在图1xy中未示出图案化第一电极126,但如图1所示它将布置在图案化第二电极130之下。电源通孔138和接地通孔140分别与衬垫190接触,衬垫190接着与电突起192接触。
可以通过两个相邻电极的重叠来确定电极有效区域,例如可以看到图案化第二电极130和图案化第三电极134的一个X-Y区域的Z投影在彼此之上。在实施例中,片上电容器100的X-Y覆盖区大约是10μm X10μm。在给定的半导电衬底110具有的X-Y覆盖区大约为10mm X10mm且片上电容器100具有的X-Y覆盖区大约为10μm X10μm的实施例中,总共大约一百万(1,000,000)个片上电容器布置在无源化结构中半导电结构的紧上方。
在本公开中阐述了其它电容器结构(见图2-8)。当有用时,在无源化结构中制造不同片上电容器结构的组合,以对位于给定的半导电衬底的有源表面处的给定的有源设备电路提供有效的电容器。
图2是根据示例性实施例的片上电容器200的截面正视图。半导电衬底210包括有源表面212和背部表面214以及BE金属化体216。BE金属化体216可以包括例如从M1直到Mn218的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹218是M11金属化体218。
片上电容器结构220布置在BE金属化体216的上面。在实施例中,通孔蚀刻停止第一层222形成在上金属化体轨迹218上,就像它通过BE金属化体216而被暴露。之后,第一VILD224布置在上金属化体轨迹218之上。在该实施例中,第一VILD224布置在通孔蚀刻停止第一层222的上面。
图案化第一电极226布置在第一VILD224上。在对图案化第一电极226进行图案化期间,还形成伪第一电极227。电容器第一介电层228共形地布置在图案化第一电极226之上。可以看出,拓扑图开始于电容器第一介电层228,其完全覆盖图案化第一电极226以及第一VILD224的暴露部分。图案化第二电极230共形地布置在电容器第一介电层228之上。图案化第二电极230是“浮子”电极,因为它没有附到电源端子238或接地端子240中的任一个上。电容器第二介电层232共形地布置在图案化第二电极230之上。图案化第三电极234共形地布置在电容器第二介电层232之上。在对图案化第三电极234进行图案化期间,还形成伪第三电极235。第二VILD236布置在电容器第二介电层232以及图案化第三电极234和伪第三电极235之上。
在实施例中,电极厚度在从20nm到50nm的范围内。例如,封端电极的厚度可以不同于浮子电极的厚度。在示例性实施例中,第一电极226和第三电极234分别为20nm厚,而第二电极230为50nm厚。在示例性实施例中,第一电极226和第三电极234分别为40nm厚,而第二电极230为20nm厚。
在半导电衬底210和外部世界之间电耦合电容器结构220是通过电源通孔238和接地通孔240完成的。如该实施例中所示,电源通孔238与图案化第一电极226接触。图案化第二电极230是浮子电极,其布置在图案化第一电极226和图案化第三电极234之间。在该实施例中,接地是通过在接地通孔240和图案化第三电极234之间直接接触完成的。
现在可以理解的是,电源通孔238可以被称作第一通孔238,其具有到第一电极226、第二电极230和第三电极234中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极226和图案化伪第三电极235。类似地但根据该实施例,接地通孔240可以被称作第二通孔240,其具有到第一电极226、第二电极230和第三电极234中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第三电极234和第一伪电极227。因此可以理解的是,到第一通孔238的第一耦合配置不同于到第二通孔240的第二耦合配置。
现在还可以理解的是,第一通孔238和第二通孔240中的每个分别在半导电衬底210和外部世界之间连接的同时穿透和接触两个金属层。在图2中,第一通孔238穿透和接触在第一电极电源接触点242和第三电极伪接触点244处。而且,第二通孔240穿透和接触在第三电极接地接触点248和第一伪电极接触点246处。
电路图294示出了片上电容器200的功能设计。电路图294示出了电容器电极的串联配置,其在利用中间浮子电极230的同时允许较高的Vmax的有用的较高电容。
现在可以理解的是,在所有图示的实施例中,第一通孔和第二通孔(图2中的第一通孔238和第二通孔240)可以进一步接触位于片上电容器结构220上的第二BE金属化体217。如图所示,第二BE金属化体217被构建在第二BE金属化体蚀刻停止层223上。金属触点和金属化体线被示意性地描绘在第二BE金属化体217的底部和顶部。另外,电突起292布置在与第二BE金属化体217中的顶部金属化体接触的衬垫290上。
由于在第一BE金属化体216和第二BE金属化体217之间形成片上电容器结构220的夹层的结果,例如M12的Mn金属化体可以在第一BE金属化体216和第二BE金属化体217之间分裂。例如在M12总金属化体中,在第一BE金属化体216中可以有九个金属化体层,之后是片上电容器结构220,然后是在第二BE金属化体217中的三个金属化体层。根据给定的有用的应用可以做出其他配置。
图3是根据示例实施例的片上电容器300的截面正视图。半导电衬底310包括有源表面312和背部表面314以及BE金属化体316。BE金属化体316可以包括例如从M1直到Mn318的金属层,例如M11,但是并不限于M11。在实施例中,上金属化体轨迹318是M11金属化体318。
片上电容器结构320布置在BE金属化体316的上面。在实施例中,通孔蚀刻停止第一层322形成在上金属化体轨迹318上,就像它通过BE金属化体316而被暴露。之后,第一VILD324布置在上金属化体轨迹318之上。在该实施例中,第一VILD324布置在通孔蚀刻停止第一层322的上面。
图案化第一电极326布置在第一VILD324上。电容器第一介电层328共形地布置在图案化第一电极326之上。可以看出,拓扑图开始于电容器第一介电层328,其完全覆盖图案化第一电极326以及第一VILD324的暴露部分。图案化第二电极330共形地布置在电容器第一介电层328之上。电容器第二介电层332共形地布置在图案化第二电极330之上。图案化第三电极334共形地布置在电容器第二介电层332之上。在对图案化第三电极334进行图案化期间,还形成有伪第三电极335和337。第二VILD336布置在电容器第二介电层332以及图案化第三电极334和伪第三电极335和337之上。
在半导电衬底310和外部世界之间电耦合电容器结构320是通过电源通孔338和接地通孔340完成的。如该实施例中所示,电源通孔338与图案化第一电极326和伪第三电极337接触。在该实施例中,接地是通过在接地通孔340和图案化第二电极330之间直接接触完成的。图案化第三电极334是浮子电极,其布置在图案化第一电极326和图案化第二电极330之上。
现在可以理解的是,电源通孔338可以被称作第一通孔338,其具有到第一电极326、第二电极330和第三电极334中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极326和图案化伪第三电极337。类似地但根据该实施例,接地通孔340可以被称作第二通孔340,其具有到第一电极326、第二电极330和第三电极334中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第二电极330和伪第三电极335。因此可以理解的是,到第一通孔338的第一耦合配置不同于到第二通孔340的第二耦合配置。
现在还可以理解的是,第一通孔338和第二通孔340中的每个分别在半导电衬底310和外部世界之间连接的同时穿透和接触两个金属层。在图3中,第一通孔338穿透和接触在第一电极电源接触点342和第三电极伪接触点344处。而且,第二通孔340穿透和接触在第二电极接地接触点346和伪第三电极接触点348处。
电路图394示出片上电容器300的功能设计。电路图394仅示出了电容器电极的底部配置,其在利用顶部浮子电极334的同时允许有用的较高电容。因此,片上电容器可以具有不同于顶部电极的Vmax
图4是根据示例性实施例的片上电容器400的截面正视图。半导电衬底410包括有源表面412和背部表面414以及BE金属化体416。BE金属化体416可以包括例如从M1直到Mn418的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹418是M11金属化体418。
片上电容器结构420布置在BE金属化体416的上面。在实施例中,通孔蚀刻停止第一层422形成在上金属化体轨迹418上,就像它通过BE金属化体416而被暴露。之后,第一VILD424布置在上金属化体轨迹418之上。在该实施例中,第一VILD424布置在通孔蚀刻停止第一层422的上面。
图案化第一电极426布置在第一VILD424上。电容器第一介电层428共形地布置在图案化第一电极426之上。可以看出,拓扑图开始于电容器第一介电层428,其完全覆盖图案化第一电极426以及第一VILD424的暴露部分。图案化第二电极430共形地布置在电容器第一介电层428之上。电容器第二介电层432共形地布置在图案化第二电极430之上。图案化第三电极434共形地布置在电容器第二介电层432之上。在对图案化第三电极434进行图案化期间,还形成伪第三电极435。第二VILD436布置在电容器第二介电层432以及图案化第三电极434和伪第三电极435之上。
在半导电衬底410和外部世界之间电耦合电容器结构420是通过电源通孔438和接地通孔440完成的。如该实施例中所示,电源通孔438与图案化第一电极426以及图案化第三电极434接触。在该实施例中,接地是通过在接地通孔440和图案化第二电极430之间直接接触完成的。现在可以理解的是,电源通孔438可以被称作第一通孔438,其具有到第一电极426、第二电极430和第三电极434中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极426和图案化第三电极434。类似地但根据该实施例,接地通孔440可以被称作第二通孔440,其具有到第一电极426、第二电极430和第三电极434中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第二电极430和伪第三电极435。因此可以理解的是,到第一通孔438的第一耦合配置不同于到第二通孔440的第二耦合配置。
现在还可以理解的是,第一通孔438和第二通孔440中的每个分别在连接半导电衬底410和外部世界的同时穿透和接触两个金属层。在图4中,第一通孔438穿透和接触在第一电极电源接触点442和第三电极接触点444处。而且,第二通孔440穿透和接触在第二电极接地接触点446和伪第三电极接触点448处。
电路图494示出片上电容器400的功能设计。电路图494仅示出了电容器电极的底部配置,其在利用底部浮子电极426的同时允许有用的较高电容。因此,片上电容器400能够具有不同于底部电极的Vmax
图5是根据示例性实施例的片上电容器500的截面正视图。半导电衬底510包括有源表面512和背部表面514以及BE金属化体516。BE金属化体516可以包括例如从M1直到Mn518的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹518是M11金属化体518。
片上电容器结构520布置在BE金属化体516的上面。在实施例中,通孔蚀刻停止第一层522形成在上金属化体轨迹518上,就像它通过BE金属化体516而被暴露。之后,第一VILD524布置在上金属化体轨迹518之上。在该实施例中,第一VILD524布置在通孔蚀刻停止第一层522的上面。
图案化第一电极526布置在第一VILD524上。电容器第一介电层528共形地布置在图案化第一电极526之上。可以看出,拓扑图开始于电容器第一介电层528,其完全覆盖图案化第一电极526以及第一VILD524的暴露部分。图案化第二电极530共形地布置在电容器第一介电层528之上。电容器第二介电层532共形地布置在图案化第二电极530之上。图案化第三电极534共形地布置在电容器第二介电层532之上。在对图案化第三电极534进行图案化期间,还形成伪第三电极535。第二VILD536布置在电容器第二介电层532以及图案化第三电极534和伪第三电极535之上。
在半导电衬底510和外部世界之间电耦合电容器结构520是通过两个电源通孔538和588以及接地通孔540完成的。如该实施例中所示,第一电源通孔538与图案化第一电极526以及图案化伪第三电极537接触。可以看到,图案化第一电极526具有中央孔,其可以容纳第二电源通孔588,而无需在其间接触。第二电源通孔588与图案化第三电极534和伪第二电极531接触。在该实施例中,接地是通过在接地通孔540和图案化第二电极530之间直接接触完成的。可以看到,图案化第二电极具有中央孔,其可以容纳伪第二电极531。现在可以理解的是,第一电源通孔538可以被称作第一通孔538,其具有到第一电极526、第二电极530和第三电极534中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极526和伪第三电极537。类似地但根据该实施例,第二电源通孔588可以被称作后续通孔588,其具有到第一电极526、第二电极530和第三电极534中的至少一个的后续耦合配置;在该实施例中,耦合到图案化第三电极534和伪第二电极531。类似地但根据该实施例,接地通孔540可以被称作第二通孔540,其具有到第一电极526、第二电极530和第三电极534中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第二电极530和伪第三电极535。因此可以理解的是,到第一通孔538的第一耦合配置不同于到第二通孔电源通孔588的后续耦合配置以及到第二通孔540的第二耦合配置。
现在还可以理解的是,第一通孔538、第二通孔540和后续通孔588中的每个分别在半导电衬底510和外部世界之间连接的同时穿透和接触两个金属层。在图5中,第一通孔538穿透和接触在第一电极电源接触点542和伪第三电极接触点544处。而且,第二通孔540穿透和接触在第二电极接地接触点546和伪第三电极接触点548处。类似地,后续通孔588穿透和接触在第三电极接触点和伪第二电极接触点592处。
电路图594示出片上电容器500的功能设计。电路图594示出了电容器电极的相互依赖的串联配置,其在利用接地中间电极530的同时允许具有较高的Vmax的有用的较高电容。
图6是根据示例性实施例的片上电容器600的截面正视图。半导电衬底610包括有源表面612和背部表面614以及BE金属化体616。BE金属化体616可以包括例如从M1直到Mn618的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹618是M11金属化体618。
片上电容器结构620布置在BE金属化体616的上面。在实施例中,通孔蚀刻停止第一层622形成在上金属化体轨迹618上,就像它通过BE金属化体616而被暴露。之后,第一VILD624布置在上金属化体轨迹618之上。在该实施例中,第一VILD624布置在通孔蚀刻停止第一层622的上面。
图案化第一电极626布置在第一VILD624上。另外,图案化第一后续电极627也布置在第一VILD624之上。电容器第一介电层628共形地布置在图案化第一电极626和图案化第一后续电极627之上。可以看出,拓扑图开始于电容器第一介电层628,其完全覆盖电极626和627以及第一VILD624的暴露部分。图案化第二电极630共形地布置在电容器第一介电层628之上。电容器第二介电层632共形地布置在图案化第二电极630之上。图案化第三电极634共形地布置在电容器第二介电层632之上。另外,图案化第三后续电极635也布置在电容器第二介电层632之上。第二VILD636布置在电容器第二介电层632以及第三电极634和635之上。
在半导电衬底610和外部世界之间电耦合电容器结构620是通过电源通孔638和接地通孔640完成的。如该实施例中所示,电源通孔638与图案化第一电极626以及图案化第三电极634接触。在该实施例中,接地是通过在接地通孔640和图案化第三电极634和图案化第三后续电极635之间直接接触完成的。
现在可以理解的是,电源通孔638可以被称作第一通孔638,其具有到第一电极626、第一后续电极627、第二电极630、第三电极634和第三后续电极635中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极626和图案化第三电极634。类似地但根据该实施例,接地通孔640可以被称作第二通孔640,其具有到第一电极626、第一后续电极627、第二电极630、第三电极634、第三后续电极635中的至少一个的第二耦合配置;在该实施例中,分别耦合到图案化第一后续电极627和图案化第三后续电极635。因此可以理解的是,到第一通孔638的第一耦合配置不同于到第二通孔640的第二耦合配置。
现在还可以理解的是,第一通孔638和第二通孔640中的每个分别在半导电衬底610和外部世界之间连接的同时穿透和接触两个金属层。在图6中,第一通孔638穿透和接触在第一电极电源接触点642和第三电极电源接触点644处。而且,第二通孔640穿透和接触在第一后续电极接地接触点646和第三后续接地电极接触点648处。
电路图694示出了片上电容器600的功能设计。电路图694示出了并联加串联电极,其可以以较高的Vmax强加有用的适中电容。
图7是根据示例性实施例的片上电容器700的截面正视图。半导电衬底710包括有源表面712和背部表面714以及BE金属化体716。BE金属化体716可以包括例如从M1直到Mn718的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹718是M11金属化体718。
片上电容器结构720布置在BE金属化体716的上面。在实施例中,通孔蚀刻停止第一层722形成在上金属化体轨迹718上,就像它通过BE金属化体716而被暴露。之后,第一VILD724布置在上金属化体轨迹718之上。在该实施例中,第一VILD724布置在通孔蚀刻停止第一层722的上面。
图案化第一电极726布置在第一VILD724上。电容器第一介电层728共形地布置在图案化第一电极726之上。可以看出,拓扑图开始于电容器第一介电层728,其完全覆盖图案化第一电极726以及第一VILD724的暴露部分。图案化第二电极730共形地布置在电容器第一介电层728之上。电容器第二介电层732共形地布置在图案化第二电极730之上。图案化第三电极734共形地布置在电容器第二介电层732之上。电容器第三介电层766共形地布置在图案化第三电极734之上。图案化第四电极778共形地布置在电容器第三介电层766之上。第二VILD736布置在电容器第三介电层766以及图案化第四电极768之上。
在半导电衬底710和外部世界之间电耦合电容器结构720是通过电源通孔738和接地通孔740完成的。如该实施例中所示,电源通孔738与图案化第一电极726以及图案化第三电极734接触。在该实施例中,接地是通过在接地通孔740与图案化第二电极730和图案化第四电极768之间直接接触完成的。
现在可以理解的是,电源通孔738可以被称作第一通孔738,其具有到第一电极726、第二电极730、第三电极734和第四电极768中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极726和图案化第三电极734。类似地但根据该实施例,接地通孔740可以被称作第二通孔740,其具有到第一电极726、第二电极730、第三电极734和第四电极768中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第二电极730和图案化第四电极768。因此可以理解的是,到第一通孔738的第一耦合配置不同于到第二通孔740的第二耦合配置。
现在还可以理解的是,第一通孔738和第二通孔740中的每个分别在半导电衬底710和外部世界之间连接的同时穿透和接触两个金属层。在图7中,第一通孔738穿透和接触在第一电极电源接触点742和第三电极电源接触点744处。而且,第二通孔740穿透和接触在第二电极接地接触点746和第四电极接触点748处。片上电容器700呈现MIM IM2结构。
电路图794示出了片上电容器700的功能设计。电路图794示出了并联的+V板,其可以以较低的Vmax强加有用的较高电容。
现在可以理解的是,可以制造较大量的MIM IMn结构,例如取决于给定的有用应用可以制造四电极、八电极、甚至十电极结构。对于五电极结构,不论所有的电极都是端子或一些是浮子,片上电容器将具有术语MIMIM3。对于七电极结构,不论所有的电极都是端子或一些是浮子,片上电容器将具有术语MIM IM5。在任何情况下,给定板数量的片上电容器构建在第一BE金属化体上;在该实施例中,在第一金属化体716上。
图8是根据示例性实施例的片上电容器800的截面正视图。半导电衬底810包括有源表面812和背部表面814以及BE金属化体816。BE金属化体816可以包括例如从M1直到Mn818的金属层,如M11但不限于M11。在实施例中,上金属化体轨迹818是M11金属化体818。
片上电容器结构820布置在BE金属化体816的上面。在实施例中,通孔蚀刻停止第一层822形成在上金属化体轨迹818上,就像它通过BE金属化体816而被暴露。之后,第一VILD824布置在上金属化体轨迹818之上。在该实施例中,第一VILD824布置在通孔蚀刻停止第一层822的上面。
图案化第一电极826布置在第一VILD824上。电容器第一介电层828共形地布置在图案化第一电极826之上。可以看出,拓扑图开始于电容器第一介电层828,其完全覆盖图案化第一电极826以及第一VILD824的暴露部分。图案化第二电极830共形地布置在电容器第一介电层828之上。在对图案化第二电极830进行图案化期间,还形成伪第二电极831。电容器第二介电层832共形地布置在图案化第二电极830和伪第一电极831之上。图案化第三电极834共形地布置在电容器第二介电层832之上。在对图案化第三电极834进行图案化期间,还形成伪第三电极835。电容器第三介电层866共形地布置在图案化第三电极834和伪第三电极835之上。图案化第四电极878共形地布置在电容器第三介电层866之上。第二VILD836布置在电容器第四介电层866以及图案化第四电极868之上。
在半导电衬底810和外部世界之间电耦合电容器结构820是通过电源通孔838和接地通孔840完成的。如该实施例中所示,电源通孔838与图案化第一电极826接触。图案化第二电极830是浮子电极,其布置在图案化第一电极826和也是浮子电极的图案化第三电极834之间。在该实施例中,接地是通过在接地通孔840和图案化第四电极868之间直接接触完成的。
现在可以理解的是,电源通孔838可以被称作第一通孔838,其具有到第一电极826、第二电极830、第三电极834和第四电极868中的至少一个的第一耦合配置;在该实施例中,耦合到图案化第一电极826和伪第三电极835。类似地但根据该实施例,接地通孔840可以被称作第二通孔840,其具有到第一电极826、第二电极830、第三电极834和第四电极868中的至少一个的第二耦合配置;在该实施例中,耦合到图案化第四电极868和伪第二电极827。因此可以理解的是,到第一通孔838的第一耦合配置不同于到第二通孔840的第二耦合配置。
现在还可以理解的是,第一通孔838和第二通孔840中的每个分别在半导电衬底810和外部世界之间连接的同时穿透和接触两个金属层。在图8中,第一通孔838穿透和接触在第一电极电源接触点842和第三电极伪接触点844处。而且,第二通孔840穿透和接触在第四电极接地接触点848和第二伪电极接触点846处。片上电容器800呈现MIM IM2结构。
电路图894示出了片上电容器800的功能设计。电路图894示出了电容器电极的串联配置,其在使用两个中间浮子电极830和834的同时允许具有较高Vmax的有用的较高电容。
图1a是根据示例实施例的在处理过程中的片上电容器101的截面正视图。图1中所描绘的片上电容器100可以通过这个处理实施例实现。
通孔蚀刻停止第一层122已容纳已经图案化的金属层以形成图案化第一电极126。可以使用传统的技术,例如沉积电极材料、在掩膜上旋转、固化掩膜以匹配图案化第一电极126、以及蚀刻金属层,随后是移除掩膜以显示所描绘的图案化第一电极126。
图1b是根据示例性实施例的图1a所示的片上电容器在进一步处理之后的截面正视图。已经通过在图案化第一电极126上共形地形成电容器第一介电层128来处理了片上电容器102。可以看出,拓扑图开始于电容器第一介电层128,其完全覆盖图案化第一电极126以及第一VILD124的暴露部分。图1b中的处理还包括:在电容器第一介电层128之上共形地形成第二金属层,例如化学气相沉积第二金属层。可以使用传统的技术,例如沉积电极材料、在掩膜上旋转、固化掩膜以匹配图案化第二电极130、以及蚀刻金属层,随后是移除掩膜以显示所描绘的图案化第二电极130。
图1c是根据示例性实施例的图1b所示的片上电容器在进一步处理之后的截面正视图。已经通过在图案化第二电极130上共形地形成电容器第二介电层132来处理了片上电容器103。可以看出,拓扑图继续在图案化第二电极130上。图1c中的处理包括:在电容器第二介电层132上共形地形成第三金属层。在形成图案化第三电极134期间,还形成伪第三电极135。
图1d是根据示例性实施例的图1c所示的片上电容器在进一步处理之后的截面正视图。已经通过在电容器第二介电层132以及图案化第三电极134和伪第三电极135之上形成第二VILD136来处理了片上电容器103。此外,形成穿透片上电容器120且暴露上金属化体118的凹处。粘合层139也被描绘为形成在凹处。进一步的处理导致针对图1所示的片上电容器100所示出和描述的实施例。
现在可以理解的是,在片上电容器上构建第二BE金属化体,随后是如图2所示的电突起。
图9是根据示例实施例的过程和方法流程图900。
在910处,过程包括在半导电衬底的BE金属化体之上形成蚀刻停止层。在非限制性示例实施例中,在BE金属化体116的上面形成通孔蚀刻停止第一层122。
在912处,过程包括在BE金属化体之上形成第一VILD。在非限制性示例实施例中,在BE金属化体116上以及在通孔蚀刻停止第一层122上形成第一VILD124。
在920处,过程包括在第一VILD上对第一电极进行图案化。在非限制性示例实施例中,第一电极126被图案化为如图1a所描绘和图示的。
在922处,过程包括在第一电极之上形成电容器第一介电层。在非限制性示例实施例中,电容器第一介电层128形成在第一电极126之上。
在930处,过程包括在电容器第一介电层上形成第二电极。在非限制性示例实施例中,在电容器第一介电层128上对第二电极130进行图案化。
在932处,过程包括在第二电极上形成电容器第二介电层。在非限制性示例实施例中,如图1c所描述和示出的电容器第二介电层132形成在第二电极130之上。
在940处,过程包括在电容器第二介电层上形成第三电极。在非限制性示例实施例中,在电容器第二介电层132之上对第三电极134进行图案化。可以看到,伪第三电极135形成为该实例实施例的一部分。
在950处,过程包括在第三电极之上形成第二VILD。现在可以理解的是,在形成第二VILD之前可以形成后续电极和其他结构。在非限制性示例实施例中,第二VILD136形成在第三电极134之上。
在960处,过程包括打开并填充通孔以与至少两个电极接触。在非限制性示例实施例中,分别地,第一通孔138与第一电极126和第三电极134接触,第二通孔140与第二电极130接触。
在970处,方法实施例包括将片上电容器组装到计算系统。
图10是根据实施例的计算机系统的示意图。所描绘的计算机系统1000(也称作电子系统1000)能够具体体现根据若干公开的实施例及其在本公开中阐述的等价实施例中的任一个的片上电容器。包括片上电容器的装置被组装到计算机系统。计算机系统1000可以是智能电话。计算机系统1000可以是平板计算机。计算机系统1000可以是诸如上网本计算机的移动设备。计算机系统1000可以是台式计算机。计算机系统1000可以集成到汽车中。计算机系统1000可以集成到电视中。计算机系统1000可以集成到DVD播放器中。计算机系统1000可以集成到数字摄录像机中。
在实施例中,电子系统1000是计算机系统,其包括电耦合电子系统1000的各种部件的系统总线1020。根据各个实施例,系统总线1020是单条总线或多条总线的任意组合。电子系统1000包括电压源1030,其向集成电路1010提供电力。在一些实施例中,电压源1030通过系统总线1020向集成电路1010供应电流。
根据实施例,集成电路1010电耦合到系统总线1020,并包括任何电路或电路的组合。在实施例中,集成电路1010包括处理器1012,其能够是包括片上电容器实施例的任意类型的装置。如本文所使用的,处理器1012可以指任意类型的电路,例如但不限于,微处理器、微控制器、图形处理器、数字信号处理器、或其它处理器。在实施例中,在处理器1012的存储器高速缓存中发现SRAM实施例。可以包含于集成电路1010中的其它类型的电路是定制电路或专用集成电路(ASIC),例如通信电路1014用于非等价的无线设备,如蜂窝电话、智能电话、寻呼机、便携式计算机、双向无线电设备、以及其它电子系统。在实施例中,处理器1010包括片上存储器1016,如静态随机存取存储器(SRAM)。在实施例中,处理器1010包括嵌入式片上存储器1016,如嵌入式动态随机存取存储器(eDRAM)。
在实施例中,集成电路1010实现有后续集成电路1011,如在本公开中所阐述的图形处理器或射频集成电路或者两者。在实施例中,双集成电路1011包括诸如eDRAM的嵌入式片上存储器1017。双集成电路1011包括RFIC双处理器1013和双通信电路1015以及如SRAM的双片上存储器1017。在实施例中,双通信电路1015被特别配置用于RF处理。
在实施例中,至少一个无源设备1080耦合到后续集成电路1011,从而集成电路1011和至少一个无源设备是包括含有集成电路1010和集成电路1011的片上电容器的任意装置实施例的部分。在实施例中,至少一个无源设备是例如用于平板计算机或智能电话的加速计的传感器。
在实施例中,电子系统1000包括天线元件1082,例如在本公开中阐述的任何无芯针栅阵列衬底。通过使用天线元件1082,诸如电视机的远程设备1084可以通过无线链路由装置实施例远程操作。例如,智能电话上的应用通过无线链路广播指令到远达大约30米距离的电视进行操作,例如通过蓝牙技术。在实施例中,远程设备包括卫星全球定位系统,对其天线元件被配置为接收器。
在实施例中,电子系统1000还包括外部存储器1040,其反过来可以包括适于特定应用的一个或多个存储器元件,例如RAM形式的主存储器1042,一个或多个硬盘驱动器1044,和/或处理可移除介质1046的一个或多个驱动器,如磁盘、光盘(CD)、数字可变磁盘(DVD)、闪存驱动器、以及本领域已知的其他可移除介质。在实施例中,外部存储器1040是在根据任意公开的实施例的片上电容器上堆叠的POP封装。在实施例中,外部存储器1040是嵌入式存储器1048,根据任意公开的实施例,这种装置包括配合第一级互连和配合POP存储器模块衬底的片上电容器。
在实施例中,电子系统1000还包括显示设备1050以及音频输出1060。在实施例中,电子系统1000包括输入设备,例如控制器1070,其可以是键盘、鼠标、触摸板、键区、跟踪球、游戏控制器、麦克风、语音识别设备、或将信息输入到电子系统1000的任何其它输入设备。在实施例中,输入设备1070包括摄像机。在实施例中,输入设备1070包括数字声音记录器。在实施例中,输入设备1070包括摄像机和数字声音记录器。
基础衬底1090可以是计算系统1000的一部分。在实施例中,基础衬底1090是支持包括片上电容器的装置的母板。在实施例中,基础衬底1090是支持包括片上电容器的装置的板。在实施例中,基础衬底1090并入在虚线1090内包含的至少一个功能,并且是例如无线通信器的用户外壳的衬底。
如本文所示出的,集成电路1010可以实现在多个不同实施例中,包括根据若干公开实施例及其等价的片上电容器的装置,电子系统,计算机系统,制造集成电路的一个或多个方法,以及制造和组装包括根据本文在各个实施例中所阐述的若干公开实施例中的任一个或其领域内可识别出的等价实施例的片上电容器的装置的一个或多个方法。元件、材料、几何形状、维度以及操作序列都可以改变,以适合包括片上电容器实施例及其等价的特定的I/O耦合需求。
虽然管芯可以指处理器芯片、RF芯片、RFIC芯片、IPD芯片、或存储器芯片,其可以在同一句子中提及,但应该解释为它们是等价结构。在贯穿本公开所引用的“一个实施例”或“实施例”是指结合实施例所描述的特定特征、结构或特性包含于本发明的至少一个实施例中。在贯穿本公开的各处所出现的短语“在一个实施例中”或“在实施例中”不必全都指同一实施例。此外,特定特征、结构或特性可以以任何适当的方式组合在一个或多个实施例中。
例如“上”和“下”、“之上”和“之下”的术语可以通过引用所示的X-Z坐标而理解,例如“邻近”的术语可以通过引用X-Y坐标或非Z坐标而理解。
提供了摘要以符合37C.F.R.§1.72(b),其要求摘要允许阅读者快速确定技术公开的本质和主旨。可以理解提交的摘要不用于解释或限制权利要求的范围或意思。
在上述详细描述中,为了使得公开流线化,在单个实施例中将各种特征组合到一起。本公开的方法并不被解释为反映所要求保护的发明的实施例要求比每个权利要求所表达的更多的特征的意图。而是,在后面的权利要求所反映的,创新的主题在于比单个公开的实施例的所有特征少。因此,后面的权利要求被并入到详细描述中,每项权利要求独自作为分离的优选实施例。
本领域技术人员可以容易地理解,在不背离附加的权利要求中所表述的发明的原则和范围的情况下,可以对为了解释本发明的实质所描述和图示的部件和方法阶段的细节、材料和布置做出各种其它的改变。

Claims (79)

1.一种片上电容器,包括:
半导电衬底,其包括有源表面和背部表面;
布置在所述有源表面上的后端金属化体;
布置在所述后端金属化体上的无源结构,其中所述无源结构包括:
平行平面的至少第一电极、第二电极和第三电极;
第一通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第一耦合配置;以及
第二通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第二耦合配置,其中所述第一耦合配置不同于所述第二耦合配置。
2.如权利要求1所述的片上电容器,其中所述第一电极和所述第三电极接触所述第一通孔,并且其中所述第二电极接触所述第二通孔。
3.如权利要求1所述的片上电容器,其中所述第一电极接触第二端子,其中所述第二电极是浮子,并且其中所述第三电极接触所述第一通孔。
4.如权利要求1所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,并且其中所述第三电极是浮子。
5.如权利要求1所述的片上电容器,其中所述第一电极是浮子,其中所述第二电极接触所述第二通孔,并且其中所述第三电极接触所述第一通孔。
6.如权利要求1所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,还包括所述第三电极接触后续通孔。
7.如权利要求1所述的片上电容器,其中所述第一电极接触所述第一通孔,其中第一后续电极与所述第一电极共面并且接触所述第二通孔,其中所述第二电极是浮子,其中所述第三电极接触所述第一通孔,并且其中第三后续电极与所述第三电极共面且接触所述第二通孔。
8.如权利要求1所述的片上电容器,其中所述第一电极和所述第三电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,所述片上电容器还包括布置在所述第三电极之上并由电容器后续介电层分离的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
9.如权利要求1所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极是浮子,其中所述第三电极是浮子,并且还包括布置在所述第三电极之上的后续电极,其中所述后续电极接触所述第二通孔,并且所述第二电极和所述后续电极通过所述第三电极分离。
10.一种片上电容器,包括:
第一通孔层间介电层(VILD),其布置在于半导电衬底上制造的后端(BE)金属化体的上金属化体之上;
布置在所述第一VILD上的图案化第一电极;
共形地布置在所述图案化第一电极之上的电容器第一介电层;
共形地布置在所述电容器第一介电层之上的图案化第二电极;
共形地布置在所述图案化第二电极之上的电容器第二介电层;
共形地布置在所述电容器第二介电层之上的图案化第三电极;
布置在所述电容器第二介电层和所述图案化第三电极之上的第二VILD;
第一通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第一耦合配置;以及
第二通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第二耦合配置,其中所述第一耦合配置不同于所述第二耦合配置。
11.如权利要求10所述的片上电容器,还包括通孔蚀刻停止第一层,其布置在BE金属化体的上面,并且其中所述第一VILD布置在所述通孔蚀刻停止第一层的上面。
12.如权利要求10所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
13.如权利要求10所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
14.如权利要求10所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
15.如权利要求10所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度大的第二厚度。
16.如权利要求10所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度小的第二厚度。
17.如权利要求10所述的片上电容器,其中所述第一电极和所述第三电极接触所述第一通孔,并且其中所述第二电极接触所述第二通孔。
18.如权利要求17所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
19.如权利要求17所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
20.如权利要求17所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
21.如权利要求10所述的片上电容器,其中所述第一电极接触第二端子,其中所述第二电极是浮子,并且其中所述第三电极接触所述第一通孔。
22.如权利要求21所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
23.如权利要求21所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
24.如权利要求21所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
25.如权利要求10所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,并且其中所述第三电极是浮子。
26.如权利要求25所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
27.如权利要求25所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
28.如权利要求25所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
29.如权利要求25所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度大的第二厚度。
30.如权利要求25所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度小的第二厚度。
31.如权利要求10所述的片上电容器,其中所述第一电极是浮子,其中所述第二电极接触所述第二通孔,并且其中所述第三电极接触所述第一通孔。
32.如权利要求31所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
33.如权利要求31所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
34.如权利要求31所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
35.如权利要求31所述的片上电容器,与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度大的第二厚度。
37.如权利要求31所述的片上电容器,与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度小的第二厚度。
38.如权利要求10所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,还包括第三电极接触后续通孔。
39.如权利要求38所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
40.如权利要求38所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
41.如权利要求38所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
42.如权利要求10所述的片上电容器,其中所述第一电极接触所述第一通孔,其中第一后续电极与所述第一电极共面并且接触所述第二通孔,其中所述第二电极是浮子,其中所述第三电极接触所述第一通孔,并且其中第三后续电极与所述第三电极共面且接触所述第二通孔。
43.如权利要求42所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
44.如权利要求42所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
45.如权利要求42所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
46.如权利要求42所述的片上电容器,与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度大的第二厚度。
47.如权利要求42所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度小的第二厚度。
48.如权利要求10所述的片上电容器,其中所述第一电极和所述第三电极接触所述第一通孔,其中第二电极接触所述第二通孔,所述片上电容器还包括布置在所述第三电极之上并由电容器后续介电层分离的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
49.如权利要求48所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
50.如权利要求49所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
51.如权利要求49所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
52.如权利要求10所述的片上电容器,其中所述第一电极接触所述第一通孔,其中所述第二电极是浮子,其中所述第三电极是浮子,并且还包括布置在所述第三电极之上的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
53.如权利要求52所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性。
53、如权利要求52所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有相同的定性化学特性和不同的化学计量学特性。
54.如权利要求52所述的片上电容器,其中所述电容器第一介电层和所述电容器第二介电层具有不同的定性化学特性。
55.如权利要求52所述的片上电容器,其中与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度大的第二厚度。
56.如权利要求52所述的片上电容器,与通孔接触的每个电极具有第一厚度,并且是浮子的每个电极具有比所述第一厚度小的第二厚度。
57.一种形成片上电容器的过程,包括:
蚀刻第一通孔和第二通孔通过布置在无源结构中的至少第一电极、第二电极和第三电极,所述无源结构布置在于半导电衬底的有源表面上布置的后端金属化体上,其中蚀刻所述第一通孔和所述第二通孔中的每一个使得通孔蚀刻遭遇在所述无源结构中布置的相等数量的金属层。
58.如权利要求57所述的过程,还包括:
在每个通孔中形成通孔衬里粘合层;以及
以金属触点填充每个通孔。
59.如权利要求57所述的过程,还包括:以金属触点填充每个通孔。
60.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极和所述第三电极接触所述第一通孔,并且其中所述第二电极接触所述第二通孔。
61.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极接触第二端子,其中所述第二电极是浮子,并且其中所述第三电极接触所述第一通孔。
62.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,并且其中所述第三电极是浮子。
63.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极是浮子,其中所述第二电极接触所述第二通孔,并且其中所述第三电极接触所述第一通孔。
64.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,还包括所述第三电极接触后续通孔。
65.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中第一后续电极与所述第一电极共面并且接触所述第二通孔,其中所述第二电极是浮子,其中所述第三电极接触所述第一通孔,并且其中第三后续电极与所述第三电极共面且接触所述第二通孔。
66.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极和所述第三电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,所述片上电容器还包括布置在所述第三电极之上且由电容器后续介电层分离的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
67.如权利要求59所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极是浮子,其中所述第三电极是浮子,并且还包括布置在所述第三电极之上的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
68.一种形成片上电容器的过程,包括:
形成第一通孔层间介电层(VILD),其布置在于半导电衬底上制造的后端(BE)金属化体的上金属化体之上;
在所述第一VILD上形成图案化第一电极;
在所述图案化第一电极之上共形地形成电容器第一介电层;
在所述电容器第一介电层之上共形地形成图案化第二电极;
在所述图案化第二电极之上共形地形成电容器第二介电层;
在所述电容器第二介电层之上共形地形成图案化第三电极;
在所述电容器第二介电层和所述图案化第三电极之上形成第二VILD;
形成第一通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第一耦合配置;以及
形成第二通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第二耦合配置,其中所述第一耦合配置不同于所述第二耦合配置。
69.如权利要求68所述的过程,还包括:
在每个通孔中形成通孔衬里粘合层;以及
以金属触点填充每个通孔。
70.如权利要求68所述的过程,还包括:以金属触点填充每个通孔。
71.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极和所述第三电极接触所述第一通孔,并且其中所述第二电极接触所述第二通孔。
72.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极接触第二端子,其中所述第二电极是浮子,并且其中所述第三电极接触所述第一通孔。
73.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,并且其中所述第三电极是浮子。
74.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极是浮子,其中所述第二电极接触所述第二通孔,并且其中所述第三电极接触所述第一通孔。
75.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,还包括所述第三电极接触后续通孔。
76.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中第一后续电极与所述第一电极共面并且接触所述第二通孔,其中所述第二电极是浮子,其中所述第三电极接触所述第一通孔,并且其中第三后续电极与所述第三电极共面且接触所述第二通孔。
77.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极和所述第三电极接触所述第一通孔,其中所述第二电极接触所述第二通孔,所述片上电容器还包括布置在所述第三电极之上且由电容器后续介电层分离的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
78.如权利要求70所述的过程,其中填充每个通孔导致所述第一电极接触所述第一通孔,其中所述第二电极是浮子,其中所述第三电极是浮子,并且还包括布置在所述第三电极之上的后续电极,其中所述后续电极接触所述第二通孔,并且其中所述第二电极和所述后续电极通过所述第三电极分离。
79.一种计算机系统,包括:
半导电衬底,其包括有源表面和背部表面;
布置在所述有源表面上的后端金属化体;
布置在所述后端金属化体上的无源结构,其中所述无源结构包括:
平行平面的至少第一电极、第二电极和第三电极;
第一通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第一耦合配置;以及
第二通孔,其具有到所述第一电极、第二电极和第三电极中的至少一个的第二耦合配置,其中所述第一耦合配置不同于所述第二耦合配置;以及
基础衬底,其支持所述半导电衬底。
80.如权利要求79所述的计算机系统,其中所述基础衬底是从由以下项构成的组中选出的设备的一部分:移动设备、智能电话设备、平板计算机设备、车辆以及电视机。
CN201180075204.6A 2011-10-01 2011-10-01 片上电容器及其组装方法 Active CN103959463B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/054471 WO2013048522A1 (en) 2011-10-01 2011-10-01 On-chip capacitors and methods of assembling same

Publications (2)

Publication Number Publication Date
CN103959463A true CN103959463A (zh) 2014-07-30
CN103959463B CN103959463B (zh) 2017-03-15

Family

ID=47996254

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180075204.6A Active CN103959463B (zh) 2011-10-01 2011-10-01 片上电容器及其组装方法

Country Status (5)

Country Link
US (1) US9627312B2 (zh)
KR (1) KR101596460B1 (zh)
CN (1) CN103959463B (zh)
TW (1) TWI485841B (zh)
WO (1) WO2013048522A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106847762A (zh) * 2015-12-03 2017-06-13 三星电子株式会社 半导体装置和半导体封装件
CN108140495A (zh) * 2015-08-03 2018-06-08 美商新思科技有限公司 2d材料超级电容器
CN111295766A (zh) * 2017-12-29 2020-06-16 德州仪器公司 高电压隔离结构及方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8680649B2 (en) * 2008-08-22 2014-03-25 Stmicroelectronics (Tours) Sas Multi-layer film capacitor with tapered film sidewalls
US8884400B2 (en) 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US9368392B2 (en) 2014-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
US9391016B2 (en) * 2014-04-10 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
US9219110B2 (en) 2014-04-10 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
US10128327B2 (en) * 2014-04-30 2018-11-13 Stmicroelectronics, Inc. DRAM interconnect structure having ferroelectric capacitors exhibiting negative capacitance
US9425061B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Buffer cap layer to improve MIM structure performance
US9530833B2 (en) * 2014-06-17 2016-12-27 Globalfoundaries Inc. Semiconductor structure including capacitors having different capacitor dielectrics and method for the formation thereof
US9466661B2 (en) * 2014-10-10 2016-10-11 Globalfoundries Inc. Method of fabricating a MIM capacitor with minimal voltage coefficient and a decoupling MIM capacitor and analog/RF MIM capacitor on the same chip with high-K dielectrics
US9761655B1 (en) * 2016-06-20 2017-09-12 International Business Machines Corporation Stacked planar capacitors with scaled EOT
US9991199B1 (en) * 2016-11-23 2018-06-05 International Business Machines Corporation Integrated shielding and decoupling capacitor structure
US10170363B2 (en) * 2016-12-20 2019-01-01 SK Hynix Inc. Semiconductor device and method of manufacturing the semiconductor device
WO2018117111A1 (ja) * 2016-12-21 2018-06-28 大日本印刷株式会社 貫通電極基板、半導体装置及び貫通電極基板の製造方法
US20180254317A1 (en) * 2017-03-02 2018-09-06 William B. Pohlman, III Graphene based in-plane micro-supercapacitors
US10784198B2 (en) * 2017-03-20 2020-09-22 Samsung Electronics Co., Ltd. Power rail for standard cell block
TWI685980B (zh) * 2017-04-25 2020-02-21 聯華電子股份有限公司 導體-絕緣體-導體電容器及其製造方法
US10741488B2 (en) 2017-09-29 2020-08-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with integrated capacitor and manufacturing method thereof
US10468478B2 (en) * 2017-10-26 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal (MIM) capacitor structure and method for forming the same
US10483344B1 (en) * 2018-04-26 2019-11-19 International Business Machines Corporation Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers
US10615112B2 (en) * 2018-05-03 2020-04-07 International Business Machines Corporation MIM capacitor for improved process defect tolerance
US11145564B2 (en) * 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method
US10665550B2 (en) * 2018-07-16 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic shielding metal-insulator-metal capacitor structure
US10825765B2 (en) * 2018-07-26 2020-11-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10770539B2 (en) * 2018-09-25 2020-09-08 Nxp B.V. Fingered capacitor with low-K and ultra-low-K dielectric layers
US11056556B2 (en) * 2018-09-28 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal capacitive structure and methods of fabricating thereof
US11114524B2 (en) 2018-10-30 2021-09-07 Samsung Electronics Co., Ltd. Semiconductor device
KR20200091192A (ko) 2019-01-22 2020-07-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
FR3093592B1 (fr) * 2019-03-04 2021-05-07 St Microelectronics Tours Sas Circuit intégré comportant un condensateur tridimensionnel
KR20200128315A (ko) * 2019-05-03 2020-11-12 삼성전자주식회사 반도체 소자
US11276748B2 (en) 2019-07-31 2022-03-15 International Business Machines Corporation Switchable metal insulator metal capacitor
US11532697B2 (en) * 2019-10-16 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11437331B2 (en) * 2019-10-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip structure and method for forming the same
US11239142B2 (en) * 2019-10-18 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11145591B2 (en) 2019-11-18 2021-10-12 International Business Machines Corporation Integrated circuit (IC) device integral capacitor and anti-fuse
US11244850B2 (en) 2019-11-18 2022-02-08 International Business Machines Corporation On integrated circuit (IC) device simultaneously formed capacitor and resistor
US11101213B2 (en) 2020-01-28 2021-08-24 International Business Machines Corporation EFuse structure with multiple links
US11257750B2 (en) 2020-02-06 2022-02-22 International Business Machines Corporation E-fuse co-processed with MIM capacitor
US20220068794A1 (en) * 2020-08-31 2022-03-03 Intel Corporation Metal insulator metal (mim) capacitor
US11784212B2 (en) * 2020-08-31 2023-10-10 Texas Instruments Incorporated Standalone high voltage galvanic isolation capacitors
US11688680B2 (en) 2020-11-05 2023-06-27 International Business Machines Corporation MIM capacitor structures
US20220199519A1 (en) * 2020-12-21 2022-06-23 Intel Corporation Metal insulator metal (mim) capacitor with perovskite dielectric
US11670594B2 (en) * 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layer features
US11901283B2 (en) * 2021-03-18 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor and method for forming the same
US11961880B2 (en) * 2021-05-06 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal structure
US11894297B2 (en) * 2021-07-29 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal capacitor having electrodes with increasing thickness
US20230050491A1 (en) * 2021-08-16 2023-02-16 Intel Corporation High voltage metal insulator metal (mim) capacitor
US11881450B2 (en) * 2021-10-25 2024-01-23 Advanced Micro Devices, Inc. High voltage tolerant capacitors
US11990401B2 (en) * 2021-12-03 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line passive device structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1781190A (zh) * 2003-02-27 2006-05-31 Tdk株式会社 高介电常数绝缘膜、薄膜电容元件、薄膜叠层电容器及薄膜电容元件的制造方法
US20060154437A1 (en) * 2003-12-31 2006-07-13 Dongbuanam Semiconductor, Inc. Capacitor for semiconductor device and fabricating method thereof
US20080055816A1 (en) * 2006-08-29 2008-03-06 Hyung Jin Park Capacitor of Semiconductor Device and Fabrication Method Thereof
US20080258257A1 (en) * 2005-03-02 2008-10-23 Nxp B.V. Electronic Device and Use Thereof
US20100309606A1 (en) * 2009-06-03 2010-12-09 Karl-Heinz Allers Capacitor Arrangement And Method For Making Same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014097A (en) * 1987-12-24 1991-05-07 Waferscale Integration, Inc. On-chip high voltage generator and regulator in an integrated circuit
US5909043A (en) * 1994-06-02 1999-06-01 Texas Instruments Incorporated Sacrificial oxygen sources to prevent reduction of oxygen containing materials
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
EP0893831A1 (en) * 1997-07-23 1999-01-27 STMicroelectronics S.r.l. High voltage capacitor
US6376995B1 (en) * 1998-12-25 2002-04-23 Matsushita Electric Industrial Co., Ltd. Plasma display panel, display apparatus using the same and driving method thereof
US6446317B1 (en) * 2000-03-31 2002-09-10 Intel Corporation Hybrid capacitor and method of fabrication therefor
JP2002057544A (ja) * 2000-08-09 2002-02-22 Murata Mfg Co Ltd 圧電発振子
US6385033B1 (en) * 2000-09-29 2002-05-07 Intel Corporation Fingered capacitor in an integrated circuit
US7185542B2 (en) * 2001-12-06 2007-03-06 Microfabrica Inc. Complex microdevices and apparatus and methods for fabricating such devices
JP2003209179A (ja) * 2002-01-15 2003-07-25 Fujitsu Ltd 容量素子及びその製造方法
JP4257823B2 (ja) * 2002-05-27 2009-04-22 パナソニック株式会社 半導体装置および容量測定方法
JP2004128333A (ja) * 2002-10-04 2004-04-22 Shinko Electric Ind Co Ltd 薄膜コンデンサ装置、その実装モジュール及び製造方法
TWI226101B (en) * 2003-06-19 2005-01-01 Advanced Semiconductor Eng Build-up manufacturing process of IC substrate with embedded parallel capacitor
TWI228101B (en) 2003-09-26 2005-02-21 Ind Tech Res Inst Micro pump using magnetic fluid or magneto-rheological fluid
JP3987847B2 (ja) * 2003-10-17 2007-10-10 Necエレクトロニクス株式会社 Mim構造抵抗体を搭載した半導体装置
DE602004004983T2 (de) * 2003-12-05 2007-10-31 NGK Spark Plug Co., Ltd., Nagoya Kondensator und Verfahren zu seiner Herstellung
JP2005191182A (ja) 2003-12-25 2005-07-14 Nec Electronics Corp 半導体装置及びその製造方法
TWI308785B (en) * 2004-09-23 2009-04-11 Megica Corp Chip structure and method for fabricating the same
US9572258B2 (en) * 2004-12-30 2017-02-14 Intel Corporation Method of forming a substrate core with embedded capacitor and structures formed thereby
US7560334B2 (en) * 2005-10-20 2009-07-14 Atmel Corporation Method and system for incorporating high voltage devices in an EEPROM
TW200729362A (en) * 2006-01-19 2007-08-01 Ind Tech Res Inst Chip package with built-in capacitor structure
US7724498B2 (en) * 2006-06-30 2010-05-25 Intel Corporation Low inductance capacitors, methods of assembling same, and systems containing same
TWI338357B (en) 2008-07-17 2011-03-01 Unimicron Technology Corp Chip package carrier and manufacturing method thereof
JP5153889B2 (ja) * 2008-11-28 2013-02-27 京セラ株式会社 弾性表面波フィルタおよびデュプレクサ
US20100224960A1 (en) * 2009-03-04 2010-09-09 Kevin John Fischer Embedded capacitor device and methods of fabrication
JP2010258130A (ja) * 2009-04-23 2010-11-11 Renesas Electronics Corp 半導体装置及びそのレイアウト方法
US7915135B2 (en) * 2009-04-30 2011-03-29 United Microelectronics Corp. Method of making multi-layer structure for metal-insulator-metal capacitor
US8375539B2 (en) * 2009-08-05 2013-02-19 International Business Machines Corporation Method of manufacturing complimentary metal-insulator-metal (MIM) capacitors
JP5097792B2 (ja) * 2009-08-17 2012-12-12 サムソン エレクトロ−メカニックス カンパニーリミテッド. 円筒型キャパシタを備えたウェーハレベルパッケージ及びその製造方法
US8654539B2 (en) * 2009-12-15 2014-02-18 Ngk Spark Plug Co., Ltd. Capacitor-incorporated substrate and component-incorporated wiring substrate
US20120256193A1 (en) * 2011-04-08 2012-10-11 Intersil Americas Inc. Monolithic integrated capacitors for high-efficiency power converters
KR101309326B1 (ko) * 2012-05-30 2013-09-16 삼성전기주식회사 적층 칩 전자부품, 그 실장 기판 및 포장체
KR102076147B1 (ko) * 2013-12-16 2020-02-11 삼성전기주식회사 적층 세라믹 커패시터
KR20150072804A (ko) * 2013-12-20 2015-06-30 삼성전기주식회사 적층 세라믹 커패시터

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1781190A (zh) * 2003-02-27 2006-05-31 Tdk株式会社 高介电常数绝缘膜、薄膜电容元件、薄膜叠层电容器及薄膜电容元件的制造方法
US20060154437A1 (en) * 2003-12-31 2006-07-13 Dongbuanam Semiconductor, Inc. Capacitor for semiconductor device and fabricating method thereof
US20080258257A1 (en) * 2005-03-02 2008-10-23 Nxp B.V. Electronic Device and Use Thereof
US20080055816A1 (en) * 2006-08-29 2008-03-06 Hyung Jin Park Capacitor of Semiconductor Device and Fabrication Method Thereof
US20100309606A1 (en) * 2009-06-03 2010-12-09 Karl-Heinz Allers Capacitor Arrangement And Method For Making Same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140495A (zh) * 2015-08-03 2018-06-08 美商新思科技有限公司 2d材料超级电容器
US10504988B2 (en) 2015-08-03 2019-12-10 Synopsys, Inc. 2D material super capacitors
CN106847762A (zh) * 2015-12-03 2017-06-13 三星电子株式会社 半导体装置和半导体封装件
CN111295766A (zh) * 2017-12-29 2020-06-16 德州仪器公司 高电压隔离结构及方法

Also Published As

Publication number Publication date
WO2013048522A1 (en) 2013-04-04
US9627312B2 (en) 2017-04-18
US20130270675A1 (en) 2013-10-17
TW201320305A (zh) 2013-05-16
CN103959463B (zh) 2017-03-15
TWI485841B (zh) 2015-05-21
KR101596460B1 (ko) 2016-02-26
KR20140069166A (ko) 2014-06-09

Similar Documents

Publication Publication Date Title
CN103959463A (zh) 片上电容器及其组装方法
US9577030B2 (en) Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US20240047428A1 (en) Memory devices and electronic systems
TWI556286B (zh) 形成電容結構的方法及電容結構
KR102423254B1 (ko) 커패시터를 포함하는 집적 회로
CN107251215A (zh) 堆叠器件
US20190393298A1 (en) Single-mask, high-q performance metal-insulator-metal capacitor (mimcap)
TWI532106B (zh) 形成封裝結構的方法、形成裝置下互連結構的方法及其裝置
TWI502684B (zh) 凹入式底電極電容器及其組裝方法
JP3467445B2 (ja) 半導体装置およびその製造方法
US7511939B2 (en) Layered capacitor architecture and fabrication method
JP4698427B2 (ja) 半導体装置の製造方法
EP4020562A1 (en) 3d-ferroelectric random (3d-fram) with buried trench capacitors
WO2023278971A1 (en) Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
CN114649477A (zh) 具有钙钛矿电介质的金属绝缘体金属(mim)电容器
TW202211488A (zh) 金屬絕緣體金屬(mim)電容器
US20200212055A1 (en) Integration scheme for ferroelectric memory with a deep trench structure
CN111834367A (zh) 包括补偿电容器的设备及相关方法、存储器装置和电子系统
US20050286292A1 (en) Semiconductor device and method for fabricating the same
JP2007158159A (ja) 半導体装置およびその製造方法
JP2001068640A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant