TWI308785B - Chip structure and method for fabricating the same - Google Patents

Chip structure and method for fabricating the same Download PDF

Info

Publication number
TWI308785B
TWI308785B TW094133248A TW94133248A TWI308785B TW I308785 B TWI308785 B TW I308785B TW 094133248 A TW094133248 A TW 094133248A TW 94133248 A TW94133248 A TW 94133248A TW I308785 B TWI308785 B TW I308785B
Authority
TW
Taiwan
Prior art keywords
layer
metal
polymer
opening
thin film
Prior art date
Application number
TW094133248A
Other languages
Chinese (zh)
Other versions
TW200636911A (en
Inventor
Mou Shiung Lin
Chien Kang Chou
Hsin Jung Lo
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/948,020 external-priority patent/US7416971B2/en
Priority claimed from US10/962,963 external-priority patent/US7271489B2/en
Priority claimed from US10/970,871 external-priority patent/US7355282B2/en
Priority claimed from US11/017,168 external-priority patent/US7381642B2/en
Priority claimed from US11/017,145 external-priority patent/US7372161B2/en
Priority claimed from US11/017,169 external-priority patent/US8008775B2/en
Application filed by Megica Corp filed Critical Megica Corp
Priority to US11/534,672 priority Critical patent/US7473999B2/en
Publication of TW200636911A publication Critical patent/TW200636911A/en
Priority to US12/273,548 priority patent/US7932172B2/en
Application granted granted Critical
Publication of TWI308785B publication Critical patent/TWI308785B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15172Fan-out arrangement of the internal vias
    • H01L2924/15174Fan-out arrangement of the internal vias in different layers of the multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

13087851308785

.替換頁 九、發明說明: 【發明所屬之技術領域】 結構 本發明是有_-種晶片結構及其製作方法,且特別是有關 於-種可以簡化製程步_晶片結構製作方法及其所對應的晶片 【先前技術】[Description of the Invention] [Technical Field] The present invention has a wafer structure and a manufacturing method thereof, and particularly relates to a method for simplifying a process step, a wafer structure, and a corresponding method thereof Wafer [prior art]

改善半導體元件效能的方法通常是藉由縮小積體電路的幾何 尺=’上述之結果可以使單位晶粒(perdie)成本下降,並同時改 善半導體兀件之某些方面的效能。連接積體電路與其他電路之間 或積體電路與系統元件之間的金屬連接(metal c〇nnecti〇n)變得 相對地重要,且隨著積體電路的更加微型化,對於線路效能的負 面影響也隨之增加。當金屬内連線之寄生電容(parasitic capacitance)與電阻增加,將意味著晶片效能的下降。其中,最值 得關切的是沿著電源匯流排(powerbuses)與接地匯流排(浮〇1111(1 buses)之間的壓降(v〇itage drop),以及關鍵訊號路徑之電阻電容 延遲(RC delay)。為了降低電阻,若是使用寬金屬線,將導致這 些寬金屬線的寄生電容升高。為了解決這個問題,便發展使用低 電阻之金屬(例如銅)做為導線,並使用低介電常數(1〇w_k)之 介電材料於訊號線之間。從1C連接金屬歷史的觀點來看,濺鍍鋁 從60年代後巳成為ic連接金屬材料之主流。薄膜鋁經由濺鍍來 覆蓋整片晶圓’接下來以黃光微影製程及乾蝕刻或濕蝕刻的製程 將鋁金屬圖案化。就成本及濺鍍應力考量而言’要製作厚度超過2 μιη之紹金屬線路技術是很困難且成本很昂貴。大約在1995年, 鑲嵌銅(Damascene)成為另一種可用於ic連接之金屬。就鑲嵌 (Damascene)銅而言,在絕緣層圖案化之後,藉由電鍍可以形成銅 層及藉由化學機械研磨(CMP)可以將位於絕緣層開口外之銅層除 掉’將銅金屬連線形成於絕緣層開孔中。在整片晶圓上電鐘厚金 6 1308785 大的應力’且鑲嵌銅(Damaseene)的厚度通常是由絕緣層 二,定’故絕緣層-般如,氧化物,由於應力及成本 ^ :法提供太厚之厚度’也就是說要形成厚度超過2师之銅金 屬連線,在技術上有其困難且成本昂貴。 —美國專利公告第5,212,4G3號⑼—碗)揭露—種形成線路連 線的方法,其巾内部及外狀線路連_軸在·^上之線 路基底内,邏輯線路的設計會取決於、線路連線的長度。A method for improving the performance of a semiconductor device is generally to reduce the cost per die (die) by reducing the geometry of the integrated circuit = 'the above results, while improving the performance of some aspects of the semiconductor device. The metal connection (metal c〇nnecti〇n) between the integrated circuit and other circuits or between the integrated circuit and the system components becomes relatively important, and with the further miniaturization of the integrated circuits, for line performance The negative impact also increases. When the parasitic capacitance and resistance of the metal interconnects increase, it will mean a decrease in the performance of the wafer. Among them, the most concern is the voltage drop (v〇itage drop) between the power bus and the ground bus (the floating 1111 (1 buses), and the resistance and capacitance delay of the key signal path (RC delay) In order to reduce the resistance, if a wide metal wire is used, the parasitic capacitance of these wide metal wires will increase. To solve this problem, a low-resistance metal (such as copper) is used as a wire, and a low dielectric constant is used. The dielectric material of (1〇w_k) is between the signal lines. From the point of view of the history of 1C connection metal, the aluminum sputter has become the mainstream of ic connection metal materials since the 1960s. The film aluminum covers the whole film by sputtering. The wafer's next process is to pattern aluminum metal in a yellow lithography process and a dry or wet etch process. In terms of cost and sputtering stress considerations, it is difficult and costly to produce a metal circuit with a thickness of more than 2 μm. Expensive. In about 1995, Damascene became another metal that can be used for ic bonding. In the case of damascene copper, after patterning the insulating layer, it can be shaped by electroplating. The copper layer and by chemical mechanical polishing (CMP) can remove the copper layer outside the opening of the insulating layer. The copper metal wire is formed in the opening of the insulating layer. The electric clock is thick on the whole wafer and the gold is 6 1308785. 'And the thickness of the inlaid copper (Damaseene) is usually determined by the insulating layer two, so the insulating layer - like oxide, due to stress and cost ^: method provides too thick thickness 'that is to form a thickness of more than 2 division The copper metal connection is technically difficult and costly. - US Patent Publication No. 5,212, 4G3 (9) - Bowl) discloses a method of forming a line connection, in which the inside and outside of the towel are connected In the circuit substrate on the circuit board, the design of the logic circuit depends on the length of the line connection.

美國專利公告第5,501,006號(Gehman,Jr. et al.)揭露一種積體 電路與線路基叙贴魏緣層之結構,喊由分灿去的引腳 可以使晶片之接點與基板之接點電性連接。 美國專利公告第5,〇55,907號(Jacobs )揭露一種整合型半導 體結構’可以允許製造商將-薄财層線轉成在支撐基板 晶片上,藉以整合位在晶片外之電路。 一 美國專利公告弟5,1〇6,461號(Volfsonetal.)揭露一種多層連 線結構,其係藉由TAB結構並利用聚醯亞胺(p〇lyimide)之介電 層及金屬層交互疊合於晶片上而成。 美國專利公告第5,635,767號(Wenzeletal.)揭露一種藉由提 供將多層金屬層分開之PBGA結構以降低電阻電容遲緩效應的方 法0 美國專利公告第5,686,764號(Fulcher)揭露一種覆晶基板,藉 由將電源線與輸入輸出引線分開配置,可以降低電阻電容遲緩效 應。 由 Stanley Wolf 所著的 Silicon Processing for the VLSI Era (Vol. 2, pp. 214-217, Lattice Press,Sunset Beach, CA c. 1990)討論到在 80年代聚亞醯胺使用為金屬間介電材料。然而,由於聚亞醯胺有 許多缺點,因此從那時候聚亞醯胺巳不被使用於此目的。 【發明内容】 1308785 有馨於此’本發明的目的就是在改善積體電路的性能。 此外’本發明的另一目的就是在降低連接ic及臨近電路或電 路元件之電源匯流排因線路阻抗所造成的壓降。 此外’本發明的再一目的就是在降低高電流1〇元件之電源匯 流排之阻抗。 再者’本發明的又一目的就是在降低低電壓IC元件之IC金 屬連接線路之阻抗。 再者’本發明的又一目的就是在降低低電源IC元件之IC金 屬連接線路之阻抗及荷載。U.S. Patent No. 5,501,006 (Gehman, Jr. et al.) discloses an integrated circuit and a line-based structure that aligns with the Wei edge layer, and the pin that can be used to make the contacts of the wafer and the substrate The contacts are electrically connected. U.S. Patent No. 5,554,907 (Jacobs) discloses an integrated semiconductor structure that allows a manufacturer to convert a thin layer of thin layers onto a support substrate wafer to integrate circuitry external to the wafer. A multi-layered wire structure is disclosed in U.S. Patent Publication No. 5,1,6,461 (Volfsonetal.) by means of a TAB structure and utilizing a dielectric layer and a metal layer of a p〇lyimide. Made on the wafer. U.S. Patent No. 5,635,767 (Wenzeletal.) discloses a method of reducing the resistance of a resistive capacitor by providing a PBGA structure in which a plurality of layers of metal are separated. U.S. Patent No. 5,686,764 (Fulcher) discloses a flip-chip substrate by The power line is configured separately from the input and output leads to reduce the resistor and capacitor sluggish effects. Silicon Processing for the VLSI Era (Vol. 2, pp. 214-217, Lattice Press, Sunset Beach, CA c. 1990) by Stanley Wolf discusses the use of polyamines as intermetallic dielectric materials in the 1980s. . However, since polyamines have many disadvantages, polyamidoguanidine has not been used for this purpose since then. SUMMARY OF THE INVENTION 1308785 is in this context. The object of the present invention is to improve the performance of an integrated circuit. Furthermore, another object of the present invention is to reduce the voltage drop caused by the line impedance of the power bus of the connection ic and adjacent circuits or circuit components. Further, another object of the present invention is to reduce the impedance of the power bus of the high current 1 〇 component. Furthermore, another object of the present invention is to reduce the impedance of the IC metal connection line of the low voltage IC device. Furthermore, another object of the present invention is to reduce the impedance and load of the IC metal connection line of the low power IC component.

再者’本發明的又一目的就是在降低高性能積體電路(IC)元件 之Λ被路徑的RC延遲常數。 再者,本發明的又一目的就是在促進縮小體積及增加電流密 度的ic元件之應用。 再者,本發明的又一目的就是在促進及提升低電阻傳導金 之應用。 再者,本發明的又一目的就是在促進及提升低電容傳導金屬 再者’本發明的又一目的就是在藉由增加輸入/輸出(1/0)接 的數目(pincount)以提供高性能的IC元件。 再者,本發明的又一目的就是在藉由降低1/〇晶片連接之 新分佈之需求以簡化晶片組裝。 再者,本發明的又一目的就是在促進高性能IC元件盥 接地匯流排之連接。 … ’、 再者’本發明的又-目的就是在促進高性能IC元件與時序分 佈網路(clock distribution networks)之連接。 再者’本發明的又-目的就是在藉由使用較不昂貴的製程設 備’或是藉由使用較不嚴苛之潔淨度要求的無塵室,崎低忙製 8 1308785 作成本,而以上所述皆與次微米(sub-micron)製造要求做比較。 ^者,本發明的又一目的就是在驅動及刺激系統化晶片 rrrrrhip)的設計,此乃因為本發明可以提供製作簡易及低成 本之線路藉以連接長距離之功能性電路。 可根ίΐ二本又—目的就是藉由操作_上的繞線軟體即 Ζ根據所&之連接線路的形式自動地繞出超過預設長度的連接線 本^可卿成—層或多層之厚聚合物介電層及—層Further, another object of the present invention is to reduce the RC delay constant of the path of the high-performance integrated circuit (IC) device. Furthermore, another object of the present invention is to promote the use of ic components that reduce volume and increase current density. Furthermore, another object of the present invention is to promote and enhance the application of low resistance conductive gold. Furthermore, another object of the present invention is to promote and enhance low-capacitance conductive metals. Again, another object of the present invention is to provide high performance by increasing the number of input/output (1/0) connections (pincount). IC components. Furthermore, a further object of the present invention is to simplify wafer assembly by reducing the need for a new distribution of 1/〇 wafer connections. Furthermore, another object of the present invention is to facilitate the connection of high performance IC components to the ground bus. ..., 'again' The purpose of the present invention is to facilitate the connection of high performance IC components to clock distribution networks. Furthermore, 'the purpose of the invention is to use the less expensive process equipment' or to use the less clean room requirements of the clean room, the cost of the 8 1308785 is low, and above Both are compared to sub-micron manufacturing requirements. Further, another object of the present invention is to drive and stimulate the design of a systemized wafer, since the present invention can provide a functional circuit that is easy to manufacture and low in cost to connect long distances. The purpose is to automatically wrap the connection line over the preset length by the winding software of the operation _, ie, according to the connection line of the & Thick polymer dielectric layer and layer

屬ί路於巳完成之晶圓之保護層上。厚的介電層; 金路·基環丁烯(BCB),其厚度超過3微米。厚且寬的 主嶋’__域的金屬層 ^ (clock distribution networks), ^關鍵職傳m重分佈輸人/輸出缝之用者^ = 電容乘積值雜保護層下之細金屬結構之電 此外,本發明針對咼性能積體電路提供一 =rrati〇n)之頂層金屬結構。積體電路包括半導體4 在基底内’薄膜金屬結構包括細金屬内i線連 兀件上,财—保魏戦於其上。n讀到+導體 細金屬内親之連接墊。爾域^ 以暴,出 寬度遠大於倾訂’其厚度及 保護層下之薄膜金屬結構的多個部份連^由結構可以使 結構之電阻電容乘積值比如係遠小於7^==層金屬 電阻電容乘積值。 I層下之4m金屬結構之 9 1308785 為讓本發明之上述和其他目的、繼和伽能更麵易懂, 下文特舉-較佳實糊,並配合所關式,作詳細說明如下。 【實施方式】 本發明揭7^—積體電路結構,其中重配置連接金屬層及聚合 曰形成於傳統ic之保護層上,重配置連接金屬層係使用寬且厚 之金f線路,故可以降低電阻電容延遲。或者,位於保護層上之 厚且寬之金屬線路可以使暴露於保護層之開σ外之分離的二電性 ,點電性連接。或者,位在保護層上之厚金屬層可以形 感元件、電容元件或電阻元件。 睛參照圖la ’其繪示本發明之半導體晶#賴示圖。半導體 ^底1比如是矽基底、鍺基底或砷化鎵基底,透過摻雜五價或三 2的離子,比如是硼離子或鱗離子,藉以形成多個電子元件於半 導體,底1之表層’如圖1中的元件層2所示,電子元件比如是 金屬氧化物半導體、電晶體、多祕電阻元件及以多晶發作為電 極之電容元件(poly-to-poly capacitor)等。位在元件層2上之汇線 路層3係由交互沈積的薄膜金屬層及薄膜介電層所形成。一般而 言’金屬間之薄膜介電層材料包括含矽之氧化物,比如是化學氣 相沈積之氧化石夕、化學氣相沈積之TE0S氧化物、旋塗方式形成 之玻璃(S0G)、氟化玻璃(FSG)及以高密度電漿形成之化學氣^沈 積之氧化物,薄膜介電層亦可以是由上述部分材質所構成之複人 層結構或單層結構。 口 薄膜線路層一般的厚度比如是在1,〇〇〇微米到1〇,〇〇〇微米之 間。薄膜線路層一般是由濺鍍鋁或鋁合金形成,將濺渡之鋁或鋁 δ金圖案化來形成細金屬線路,在一實施例中,比如是利用銅重 量百分比小於5%之鋁銅合金作為保護層下之細金屬線路。在鋁製 私中,係先利用錢鑛的方式形成比如是|呂的金屬層在比如是二氧 化矽的介電層上,之後再利用微影蝕刻的方式圖案化此金屬層, 1308785 小^ 2利用化學氣相沈積的方式形成比如是二氧化矽或介電常數 式^ .5的另—介電層在此金屬層上,之後可以利用微影蝕刻的方 ^此另—介電層,使得多個開口可以形成在此另一介電層 上^二:出位在下層的此金屬層,之後的製程係依照順序地重覆 錄七如#丨程在此便不再贅述。另外,薄膜線路層金屬線亦可由 來形成。在鑲嵌銅製程中,銅是由位於銅層下方及銅 之黏著/阻礙層所保護,以防止銅離子之遷移影響到其方 占件。在鑲嵌銅製程巾’係先糊化學氣相沈積的方式形 ㈣古^二氧化石夕或介電常數小於2.5的介電層,之後透過微職It is on the protective layer of the finished wafer. Thick dielectric layer; gold road based cyclobutene (BCB), its thickness exceeds 3 microns. Thick and wide main 嶋 '__ domain metal layer ^ (clock distribution networks), ^ key job m redistribution input / output seam user ^ = capacitance product value under the protective layer of fine metal structure Further, the present invention provides a top metal structure of a rr performance structure for a germanium performance integrated circuit. The integrated circuit includes the semiconductor 4 in the substrate. The thin film metal structure includes the fine metal inner i-line connecting member. n Read + conductor The connection pad of the fine metal inside. The area is much larger than the thickness of the film and the thickness of the metal structure under the protective layer. The structure can make the value of the resistance and capacitance of the structure, for example, much less than 7^== layer metal. Resistor capacitance product value. 9 1308785 of the 4 m metal structure under the I layer is to make the above and other objects, the following and the gamma energy of the present invention easier to understand, and the following is a detailed description of the preferred embodiment, and the following is a detailed description. [Embodiment] The present invention discloses a circuit structure in which an integrated metal layer and a polymerized germanium are formed on a protective layer of a conventional ic, and a reconfigured connecting metal layer uses a wide and thick gold f line, so Reduce the resistance and capacitance delay. Alternatively, the thick and wide metal lines on the protective layer can be electrically connected to the separated two-electrode, exposed to the opening σ of the protective layer. Alternatively, the thick metal layer on the protective layer may be a sensing element, a capacitive element or a resistive element. The eyeglass diagram of the present invention is illustrated with reference to the accompanying drawings. The semiconductor substrate 1 is, for example, a germanium substrate, a germanium substrate or a gallium arsenide substrate, which is doped with pentavalent or triplet ions, such as boron ions or scale ions, to form a plurality of electronic components in the semiconductor, the surface layer of the bottom 1 As shown in the element layer 2 in FIG. 1, the electronic components are, for example, metal oxide semiconductors, transistors, multi-resistance elements, and poly-to-poly capacitors using polymorphs as electrodes. The bus layer 3 on the element layer 2 is formed by an inter-deposited thin film metal layer and a thin film dielectric layer. In general, the inter-metal thin film dielectric layer material includes niobium-containing oxides, such as chemical vapor deposited oxidized oxide, chemical vapor deposited TEOS oxide, spin-on-glass (S0G), fluorine. The glass (FSG) and the oxide deposited by the high-density plasma, the thin film dielectric layer may also be a composite layer structure or a single layer structure composed of the above-mentioned partial materials. The thickness of the film line layer is, for example, between 1, 〇〇〇 micron and 1 〇, 〇〇〇 micron. The thin film circuit layer is generally formed by sputtering aluminum or aluminum alloy, and the splashed aluminum or aluminum δ gold is patterned to form a fine metal circuit. In one embodiment, for example, an aluminum-copper alloy using less than 5% by weight of copper. As a thin metal line under the protective layer. In aluminum private, the metal layer such as lyon is formed on the dielectric layer such as cerium oxide, and then the metal layer is patterned by lithography, 1308785 small ^ 2 using a chemical vapor deposition method to form an additional dielectric layer such as cerium oxide or a dielectric constant type on the metal layer, after which the lithographic etching can be utilized to form a dielectric layer. A plurality of openings may be formed on the other dielectric layer. The second process is performed on the lower layer of the metal layer, and the subsequent processes are repeated in sequence according to the sequence. In addition, the thin film wiring layer metal lines can also be formed. In the inlaid copper process, copper is protected by an adhesion/obstruction layer beneath the copper layer and copper to prevent the migration of copper ions from affecting its footprint. In the inlaid copper process towel, the type of paste chemical vapor deposition is used to form a dielectric layer with a dielectric constant of less than 2.5 or a dielectric layer of less than 2.5.

If ^ + ^層的屬層’之後可以利用麵的方式形成比如 m !广化欽之黏著/阻障層在介電層上及介電層之開口内, 學氣相沈積的方式形成比如是銅的 黏著/阻障層上,i曰中銅所佔層上及位於介電層之開口内的 m二二,、中銅所姑的重量百分比比如是大於95%,之後 械r(CMP)的方式去除位在介電層之開口外的If ^ + ^ layer of the genus layer ' can be formed by means of a surface such as m ! Guanghua Qin Zhi adhesion / barrier layer on the dielectric layer and the opening of the dielectric layer, the formation of vapor deposition is such as On the adhesion/barrier layer of copper, m 2 in the layer occupied by copper and the opening in the opening of the dielectric layer, and the weight percentage of the medium copper is, for example, greater than 95%, and then the mechanical r (CMP) Way to remove the bit outside the opening of the dielectric layer

fooo^muo^ 嫩if 器來製作,光阻層使用之厚度 元,成操作電 pads},此金屬連接點提供Ic連接=例如疋連接塾(bond 接。 連接線路層與外界電路互相電性連 1308785 保屋層4係配置於1C連接結構上,並且有多數個開孔,暴露 /出位在頂層之1C連接結構’以提供電性連接點制。在目前的技 術中’保護層通常是使用電漿強化型化學氣相沈積法(plasma Enhanced Chemical Vapor Deposition, PECVD)藉以沈積氧化物與 氮化物而成。在形成保護層4時,可以先沈積—層厚度約〇 5微米 之PECVD氧化物’而隨後形成一層厚度大於〇 3微米,最佳為ο.? 微米的氮化物。上述之保護層4是相當重要的,可以保護元件2 及1C連線層3中的薄膜線路層及薄膜介電層免於濕氣及比如是 金、銀、銅等之過渡金屬及比如是鈉離子的外來離子污染物 • ion c〇ntaminati〇n)的破壞。為了達到保護的目的,保護層4之氮化 梦層之厚度通常大於0.3微米,因此位於由次微米(小於1微 米)(sub-micron)製程(形成具有細線路的積體電路)所形成之IC線 路層3與由數十或數微米(大於1微米)(tens_mjcr〇n)製程(形成厚且 寬的金屬内連線結構)所形成之後護層80之間的保護層4具有關鍵 重要性,藉由保護層4的保護,在形成後護層80時,可以允許較 便宜的製程製作厚且寬的金屬内連線及厚的聚合物層,並且可以 使用較低潔淨度等級之無塵室來製造。 保護層4的厚度比如係大於0.35微米,且保護層除了可由 β PECVD氧化物及PECVD氮化物形成外,還可由氧氮化物 (oxynitride )、磷矽玻璃層(phosphosilicate glass,PSG)、硼石夕玻璃層 (borophosphosilicate glass , BSG)、硼磷矽玻璃層 (borophosphosilicate glass,BPSG)或至少一上述材料所構成的複 合層所形成。 在一實施例中,保護層4包括一氮矽化合物層及一氧石夕化合 物層,其中氮矽化合物層係位在氧矽化合物層上,氮石夕化合物層 的厚度比如是介於0.2微米到1.2微米之間,氧石夕化合物層比如是 介於0.1微米到0.8微米之間。一般而言,保護層4包括在已製作 12 1308785 完成之晶片結構中最頂層之氮矽化合物層及最頂層之氧矽化合物 層’且保護層4包括在已製作完成之晶片結構中最頂層之由&學 氣相沈積所形成之絕緣層。位在保護層4中的多個開口θ暴露出^ 連線層3中最頂層之薄膜線路層’保護層内之開口 寸可以是介於0.1微米到25微米之間。 ” n 利用如下所述之選擇性沈積製程可以形成後護層8〇中厚且寬 的金屬線路在保護層4上,以此技術形成之厚且寬的金屬線路= 電阻電容乘積值遠小於位在保護層下之IC薄膜線路層之細金 路之電阻電容乘積值。 、,、'’Fooo^muo^ is used to make the thickness of the photoresist layer, and the thickness of the photoresist layer is used to operate the pad. The metal connection point provides Ic connection = for example, 疋 connection (bond connection. The connection circuit layer is electrically connected to the external circuit. 1308785 The Warranty 4 layer is placed on the 1C connection structure and has a plurality of openings, exposed/out of the 1C connection structure on the top layer to provide electrical connection points. In the current technology, the 'protective layer is usually used. Plasma Enhanced Chemical Vapor Deposition (PECVD) is used to deposit oxides and nitrides. When the protective layer 4 is formed, a PECVD oxide having a thickness of about 5 μm can be deposited first. Then, a nitride having a thickness greater than 〇3 μm, preferably ο. μm is formed. The protective layer 4 described above is important to protect the thin film wiring layer and the thin film dielectric in the wiring layer 3 of the component 2 and the 1C. The layer is protected from moisture and the destruction of transition metals such as gold, silver, copper, etc., and foreign ion contaminants such as sodium ions (ion c〇ntaminati〇n). For protection purposes, the thickness of the nitride layer of the protective layer 4 is typically greater than 0.3 microns and is therefore formed by a sub-micron process (forming an integrated circuit with thin lines). The protective layer 4 between the IC wiring layer 3 and the protective layer 80 formed by the tens or micron (greater than 1 micron) (tens_mjcr〇n) process (forming a thick and wide metal interconnect structure) is of critical importance By the protection of the protective layer 4, when forming the back cover 80, a relatively inexpensive process can be made to make a thick and wide metal interconnect and a thick polymer layer, and a dust-free grade can be used. Room to manufacture. The thickness of the protective layer 4 is, for example, greater than 0.35 micrometers, and the protective layer may be formed of oxynitride, phosphosilicate glass (PSG), and borax in addition to being formed of β PECVD oxide and PECVD nitride. A borophosphosilicate glass (BSG), a borophosphosilicate glass (BPSG) or a composite layer of at least one of the above materials is formed. In one embodiment, the protective layer 4 comprises a layer of a ruthenium compound and a layer of a oxon compound, wherein the layer of the ruthenium compound is on the ruthenium compound layer, and the thickness of the nitridene compound layer is, for example, 0.2 μm. Between 1.2 microns, the oxygen oxide compound layer is, for example, between 0.1 microns and 0.8 microns. In general, the protective layer 4 includes the topmost layer of the ruthenium compound and the topmost ruthenium compound layer in the wafer structure that has been fabricated in 12 1308785 and the protective layer 4 is included in the topmost layer of the fabricated wafer structure. An insulating layer formed by & vapor deposition. The plurality of openings θ located in the protective layer 4 expose the opening of the topmost thin film wiring layer in the wiring layer 3, and the opening in the protective layer may be between 0.1 μm and 25 μm. n Using a selective deposition process as described below, a thick and wide metal line of the back cover layer 8 can be formed on the protective layer 4. The thick and wide metal line formed by this technique = the resistance-capacitor product value is much smaller than the bit The resistance-capacitance product value of the fine gold road of the IC film circuit layer under the protective layer. , ,, ''

一圖1b繪示本發明之一實施例之半導體晶片的剖面示意圖。一 半導體基底10有電晶體或是由多晶矽等其他材料所形成之電子元 件。薄膜介電層12係形成於半導體基底1〇之表面上,並覆蓋這 些電子元件。源極(S〇urce)及汲極(Drain)擴散層120位在半導體基 底丨〇内’閘極119係位在薄膜介電層12内,如此通道 可以形成在閘極119下方之半導體基底1〇内且位在源極(s〇urce) 及汲極(Drain)擴散層120之間。多層之金屬/介電層14位在薄膜介 電層12上,其中金屬/介電層14中之圖案化金屬層比如是由前述 之濺鍍鋁製程或鑲嵌銅製程所形成’金屬/介電層14中之介電層比 如是由化學氣相沈積所形成之氧矽化合物。比如是利用前述^賤 鍍鋁或鑲嵌銅製程所形成之金屬層係位在頂層之金屬/介電層14 上,保護層18係位在此金屬層上,且位在保護層18内之開口係 暴露出此金屬層之電性接墊16。在此之保護層18之結構及用途可 以參考如圖la中保護層4之結構及用途,在此便不再贅述。 ,在形成保護層18後’便可以保護之前所形成之比如是M〇s 的半導體元件及金屬/介電層14免於受到濕氣、過渡金屬或外來離 子污染物的破壞。因此,可以允許較便宜的製程製作厚且寬的金 屬内連線及厚的聚合物層形成在保護層18上,並且可以在較低潔 13 1308785 淨度等級之無塵室内製造’比如是在等級1〇〇或1〇〇以上之無塵 室内製造,其中等級100的定義係為在每立方英尺環境中超過α5 微米的顆粒超過100顆。 在形成保護層18後,可以沈積厚聚合物層20在保護層18上。 用以形成1合物層20之材料例如為Hitachi-Dupont聚酿亞胺 HD2732 或 2734(p〇lyimide,PI),或者 Asahi 聚醯亞胺 Ls_、 1-83005^或8124。另一種材料也可以用來形成聚合物層2〇,而此 材料為苯基環丁烯(BenzoCycloButene,BCB),製造商例如為D〇w Chemical公司,苯基環丁烯有逐漸取代聚醯亞胺的趨勢。聚亞芳 香基柳arylene)、多孔性介電材質或彈性體等材料亦可為聚合物 層20之材料。含環氧基之材料如感光性環氧樹脂su_8(製造商 Sotec Microsystems公司)亦可作為聚合物層2〇之材料。此聚合物 層20之沈積方式可使用旋轉塗佈(__〇η c〇ating)及硬化(egg) 的方式形成,如下所述:在旋轉塗佈比如是感光性聚醯亞胺之聚 合物薄膜德㈣18上及電性接墊16上之後,可關用微影的 方式圖案化此聚合物薄膜’藉以形成開口在此聚合物薄膜中,並 f露出電性接墊16,接著將此聚合物薄膜置於在真空環境或氮氣 •環丨兄下’並使用攝氏度之條件,進行4小時的硬化製程。或 者亦可以是其他雜,在旋轉塗佈比如是非感級雜亞胺之聚 ^物薄膜在倾層18上及電性接墊16上之後,可崎此聚合物 :,置於在真空環i兄或氮氣環境下,並使用攝氏勤度之條件, 進行4小時的硬化製程’接著可以_微影侧的方式圖案化此 聚合物薄膜’藉以形成開口在此聚合物薄膜中,並暴露出電性 墊16。 若要得到較厚的聚合物層2〇 ’則可以卿旋轉塗佈之步驟, 重覆地形成另-聚合物_在之前形成之聚合 合之多層的聚合物薄膜達到期望的厚度,其中二層= 14 1308785 物薄膜比如均為感光性聚醯亞胺,接著可以利用微_. 化此多層的聚合物薄膜,藉以形成開口在此多層的聚合物薄^ 中’並暴露出電性接墊16,接著將此多層的聚合物薄膜置於在真 空環境或氮氣環境下,並使用攝氏380度之條件,進行4小時^ 硬化製程,如此即可形成具有多層聚合物薄膜之厚的聚合物層%FIG. 1b is a cross-sectional view of a semiconductor wafer according to an embodiment of the present invention. A semiconductor substrate 10 has a transistor or an electronic component formed of other materials such as polysilicon. A thin film dielectric layer 12 is formed on the surface of the semiconductor substrate 1 and covers these electronic components. The source (S〇urce) and the drain (Drain) diffusion layer 120 are in the semiconductor substrate, and the gate 119 is located in the thin film dielectric layer 12, so that the channel can be formed on the semiconductor substrate 1 under the gate 119. The inside of the crucible is located between the source (s〇urce) and the drain diffusion layer 120. A plurality of layers of metal/dielectric layer 14 are disposed on the thin film dielectric layer 12, wherein the patterned metal layer in the metal/dielectric layer 14 is formed, for example, by the aforementioned sputtering aluminum process or inlaid copper process. The dielectric layer in layer 14 is, for example, an oxonium compound formed by chemical vapor deposition. For example, the metal layer formed by the foregoing aluminum plating or inlaid copper process is tied to the metal/dielectric layer 14 of the top layer, and the protective layer 18 is positioned on the metal layer, and the opening is located in the protective layer 18. The electrical pads 16 of the metal layer are exposed. The structure and use of the protective layer 18 herein can be referred to the structure and use of the protective layer 4 in Fig. 1a, and will not be described herein. After the formation of the protective layer 18, the previously formed semiconductor element such as M?s and the metal/dielectric layer 14 can be protected from damage by moisture, transition metal or foreign ion contaminants. Therefore, a relatively inexpensive process can be made to make thick and wide metal interconnects and a thick polymer layer formed on the protective layer 18, and can be fabricated in a clean room of a lower clean 13 1308785 clarity class, such as in Grade 1 or more clean room manufacturing, where Class 100 is defined as more than 100 particles exceeding α5 microns per cubic foot of environment. After the protective layer 18 is formed, a thick polymer layer 20 may be deposited on the protective layer 18. The material used to form the monolayer 20 is, for example, Hitachi-Dupont Polyurethane HD2732 or 2734 (p〇lyimide, PI), or Asahi Polyimine Ls_, 1-83005^ or 8124. Another material can also be used to form the polymer layer 2〇, which is BenzoCycloButene (BCB), the manufacturer is D〇w Chemical, for example, and the phenylcyclobutene is gradually substituted. The trend of amines. Materials such as polyarylene arylene, porous dielectric materials or elastomers may also be the material of the polymer layer 20. An epoxy group-containing material such as photosensitive epoxy resin su_8 (manufacturer Sotec Microsystems) can also be used as the material of the polymer layer. The deposition of the polymer layer 20 can be formed by spin coating (eg ) 〇 〇 ) ting) and hardening (egg), as described below: in spin coating a polymer such as a photosensitive polyimide. After the film (4) 18 and the electrical pad 16, the polymer film can be patterned by lithography to form an opening in the polymer film, and the electrical pad 16 is exposed, and then the polymer is polymerized. The film was placed in a vacuum environment or under a nitrogen atmosphere and used in a period of Celsius for a 4 hour hardening process. Alternatively, it may be other impurities. After spin coating, such as a non-inductive hetero-imine film on the tilting layer 18 and the electrical pad 16, the polymer may be placed in the vacuum ring. Brother or nitrogen atmosphere, and using the conditions of Celsius, for 4 hours of hardening process 'then can be patterned _ lithographic side of the polymer film' to form an opening in the polymer film, and exposed electricity Sex pad 16. To obtain a thicker polymer layer 2〇', the spin coating step can be repeated to form a further polymer-polymerized polymer layer formed in the previous layer to achieve the desired thickness, of which the second layer = 14 1308785 The film of the film is, for example, a photosensitive polyimide, which can then be micro-formed to form an opening in the polymer layer of the multilayer and expose the electrical pad 16 Then, the multilayer polymer film is placed in a vacuum environment or a nitrogen atmosphere, and subjected to a 4 hour hardening process using a condition of 380 degrees Celsius, so that a thick polymer layer having a multilayer polymer film can be formed.

在保護層18上。或者,若要得到較厚的聚合物層2〇,則可以利用 旋轉塗佈之步驟,重覆地形成另一聚合物薄膜在之前形成之聚合 物薄膜上,直到疊合之多層的聚合物薄膜達到期望的厚度,其; 疊合之多層的聚合物薄膜比如均為非感光性聚醯亞胺,=著^此 多層的聚合物薄膜置於在真空環境或氮氣環境下,並使用攝氏38〇 度之條件’進行4小時的硬化製程,接著可以利用微影侧的方 ,圖案化此多層的聚合物細’藉以形朗口在此多層的聚合物 薄膜中’並暴露出電性接墊16,如此即可形成具有多層聚合物薄 膜之厚的聚合物層20在保護層18上。On the protective layer 18. Alternatively, if a thicker polymer layer 2 is to be obtained, the step of spin coating may be used to repeatedly form another polymer film on the previously formed polymer film until the laminated polymer film is laminated. Achieving a desired thickness, wherein the laminated polymer film is, for example, a non-photosensitive polyimine, and the multilayer polymer film is placed in a vacuum or nitrogen atmosphere and is used at 38 Torr. The condition of 'degree of 4' hardening process, then the side of the lithography side can be used to pattern the multilayer polymer fine 'by forming a shape in the multilayer polymer film' and exposing the electrical pad 16 Thus, a thick polymer layer 20 having a multilayer polymer film is formed on the protective layer 18.

此外,亦可以使用網版印刷(screenprinting)的方式形成聚合物 層20在保護層18上,當印刷上聚合物層2〇時,可以留有一區域 不印刷上去,而形成開口,藉以暴露出電性接墊16,如此即可省 去微影或微雜_步驟,其中聚合物層別之材質比如是聚酿亞 胺’接著可⑽此聚合物薄層2G置於在真空環境或氮氣環境下, 並使用攝氏遍度之條件,進行4小時的硬化製程。或者,聚合 物層2〇也可以利用熱壓合具有開口之乾膜卿版)於保護層以 士的:式所形成’如此可以直接形成具有開口圖案之聚合物層% 在保護層is上’位在聚合物層20中之開口係暴露出電性接墊16, 故可以省絲影或微祕刻的步驟。或者,聚合物層%也可以利 Ξϊίίί膜(办㈣於保護層18上的方式卿成,之後再利用 彡侧製程形朗口於熱壓合後的乾财,此開口 係暴露出雜接墊16,故可以省絲影或微職刻的步驟。In addition, the polymer layer 20 can also be formed on the protective layer 18 by screen printing. When the polymer layer 2 is printed, an area can be left unprinted to form an opening, thereby exposing the electricity. The pad 16 can eliminate the lithography or micro-steps, wherein the polymer layer material is, for example, poly-imine, then (10) the polymer layer 2G is placed in a vacuum or nitrogen atmosphere. And use a condition of Celsius to perform a 4 hour hardening process. Alternatively, the polymer layer 2 can also be formed by heat-pressing a dry film having an opening in the protective layer. Thus, the polymer layer having an opening pattern can be directly formed on the protective layer is. The opening in the polymer layer 20 exposes the electrical pads 16, so that the steps of silk or micro-secret can be saved. Alternatively, the polymer layer % can also be used to make the film on the protective layer 18, and then use the side process to form the dry mouth after the hot pressing, which exposes the mat. 16, it can save the steps of silk shadow or micro-carried.

1308785 在另一實施例中’就硬化聚醯亞胺的條件而言,並不限於如 上的技術’亦可以在最尚溫度為攝氏320度以下的條件下進行硬 化’或者亦可以在溫度為攝氏320度以上的條件下,進行少於4〇 分鐘的硬化過程,甚至是少於20分鐘的硬化過程。 在硬化(curing)過程後之聚合物層20的厚度可以超過2微米, 比如為2微米至150微米之間,視電性設計需求而定。聚合物層 20的厚度較金屬/介電層14之薄膜介電層或薄膜金屬層之厚度要 厚上2到500倍。在經過硬化步驟之後,聚合物層2〇内之開口的 侧壁會呈現傾斜的樣式’侧壁與水平面之間的角度比如是45度或 是更大,基本上大約是介於50度到60度之間;或者,侧壁的傾 斜角度亦可以是小至20度。此時,聚合物層20内之開口可以是 呈現半錐形的樣式。 請參照圖lb ’在聚合物層20中之開口 27的最大橫向尺寸係 大於在保護層18中之開口 17的最大橫向尺寸,其中保護層18之 開口 17的最大橫向尺寸比如是介於01微米到5〇微米之間,在較 佳的情況下’比如是介於0.5微米到20微米之間,且電性接墊16 的最大橫向尺寸比如是介於〇·1微米到50微米之間,在較佳的情 況下,比如是介於0.5微米到20微米之間。聚合物層20之開口 27的最大橫向尺寸比如是介於1微米到1〇〇微米之間,在較佳的 情況下’比如是介於2微米到30微米之間。由於電性接墊16可 以允許做得很小,因此可以增加與電性接墊16位在同一金屬層之 線路的繞線能力,且可以減少電性接墊16與下方之薄膜金屬層間 所產生的寄生電容。 請參照圖lb,在形成聚合物層20之後,可以形成一厚金屬層 30在聚合物層20上及聚合物層20内之開口 27中’透過厚金屬層 30之一厚且寬的金屬線路26可以使多個電性接墊16電性連接。 請參照圖lb ’就電性傳輸而言,比如由一半導體元件之沒極12〇 16 1308785 所輸出的一訊號可以經由多層之金屬/介電層14之薄臈金屬層傳 送至一電性接墊16 ’再經由厚且寬的金屬線路26(傳輸路徑如箭號 40、42、44所示)傳送至另一電性接墊16,之後再經由多層之金屬 /介電層14之薄膜金屬層傳送至另一半導體元件之閘極119。1308785 In another embodiment, 'in terms of the conditions for hardening the polyimide, it is not limited to the above technique', it may be hardened under the condition that the temperature is below 320 degrees Celsius' or it may be at a temperature of Celsius. Under conditions of 320 degrees or more, a hardening process of less than 4 minutes is performed, even a hardening process of less than 20 minutes. The thickness of the polymer layer 20 after the curing process can exceed 2 microns, such as between 2 microns and 150 microns, depending on the electrical design requirements. The thickness of the polymer layer 20 is 2 to 500 times thicker than the thickness of the thin film dielectric layer or the thin film metal layer of the metal/dielectric layer 14. After the hardening step, the sidewalls of the openings in the polymer layer 2〇 will assume an oblique pattern. The angle between the sidewalls and the horizontal plane is, for example, 45 degrees or more, and is substantially between 50 degrees and 60 degrees. Between degrees; or, the angle of inclination of the side wall can also be as small as 20 degrees. At this time, the opening in the polymer layer 20 may be in a semi-conical pattern. Referring to FIG. 1b, the maximum lateral dimension of the opening 27 in the polymer layer 20 is greater than the maximum lateral dimension of the opening 17 in the protective layer 18, wherein the maximum lateral dimension of the opening 17 of the protective layer 18 is, for example, 01 micron. Between 5 〇 micrometers, preferably in the case of between 0.5 micrometers and 20 micrometers, and the maximum lateral dimension of the electrical pads 16 is between 〇 1 micrometer and 50 micrometers, In preferred cases, for example, between 0.5 microns and 20 microns. The maximum lateral dimension of the opening 27 of the polymer layer 20 is, for example, between 1 micron and 1 micron, and in the preferred case, e.g., between 2 and 30 microns. Since the electrical pads 16 can be made small, the winding capability of the wires of the same metal layer as the electrical pads 16 can be increased, and the electrical pads 16 and the underlying thin metal layers can be reduced. Parasitic capacitance. Referring to FIG. 1b, after forming the polymer layer 20, a thick metal layer 30 can be formed on the polymer layer 20 and in the opening 27 in the polymer layer 20 to pass through a thick and wide metal line of the thick metal layer 30. 26 can electrically connect the plurality of electrical pads 16 . Referring to FIG. 1b', in the case of electrical transmission, for example, a signal outputted by a semiconductor device's poleless 12 〇 16 1308785 can be transmitted to an electrical connection via a thin metal layer of a plurality of metal/dielectric layers 14 Pad 16' is then transferred to another electrical pad 16 via a thick and wide metal line 26 (transmission path as indicated by arrows 40, 42, 44), followed by a thin film metal of multiple layers of metal/dielectric layer 14. The layer is transferred to the gate 119 of another semiconductor component.

如圖lb所示之厚金屬層30的製造過程可以參照圖2a至圖 2f’為簡化圖示,在此係以12代表含有閘極、源極及没極之M〇s 元件或多晶矽被動元件,其中半導體元件丨2可以經由金屬/介電層 14連接至電性接墊16,保護層18内之一開口 17係暴露出電性^ 墊16’利用如前所述的方式可以形成聚合物層2〇在保護層18上, 位在聚合物層20内之開口 27暴露出電性接墊16,如圖2a所示。 ^接著,請先參照圖2b,在形成聚合物層20到保護層18上之 後’可以藏鑛一黏著/阻礙層200在聚合物層2〇上、聚合物層2〇 内之開口 17巾及電性触16上,此黏著/阻礙層之材料比如為鈦 鶴合金、鉻、鉻銅合金、鈦、組、缝化合物或是鈦氮化合物等, 二厚度大約在_微絲3微米之間,在較佳的實刻中比如是 〇埃(3ngStr〇m)到5000埃之間。接著,再利用濺鍍(_er) 鑛(―卿哗)的方式,形成種子層202在黏著/阻 二上’此種子層2〇2之材質例如銅、金、銀、把、舶、姥、 中了厚度大約在_微米至3微米之間,在較佳的實施例 中比如疋7丨於300埃(angstrom)到10000埃之間。 上,照圖2C,可以形成一厚光阻層203在種子層202 /、;度大、力在1微米至卿微米之間 方式圖案化厚光阻層203,藉以开Μ π 〇乂 ^俊J以棚微办的 出藉衫彻^ 成開在厚光阻層203内並暴露 (“二ίΓ如是可以使用曝光對準華㈣或是1倍 steppei>s)對光阻層⑽進行曝光製程。 明先〜圖2d ’接著可以利用電鍍或 204 2〇3 17 1308785 屬層204的材料例如為銅、金、銀、鈀、鉑、鍺、釕 大約在1微来至励微米之間,在較佳 於2微= ,甚至大於99%之銅時,種子層搬之材 T99%之銅;當金屬層204係利用電鐘的 之i料可以ϊϊ,ΐ於90%或甚至大於99%之金時,種子層202 =才科了4重1百分敝於·或甚至大於99%之金; 層204係利用電鍍的方式形成重量 】大2 時,種子層202之材料可以為重量百分比大 顧2G4 梅彡成重量百分 旦百八+二ΐ大9%之纪時’種子層202之材料可以為重 或甚^大於99%之把;當金屬層204係利用電 it式成1百分比大於9G%或甚至大於99%之_,種子 二各麗ί材料可以為重量百分比大於9〇%或甚至大於99%之銘; :金屬層204係利用電鑛的方式形成重量百分比大於9〇%或甚至 ίΐΓΓ之錢時,種子層2G2之材料可以為重量百分比大於霞 3^於"%之錢;當金屬層2〇4係利用電鑛的方式形成重量 ==大於90%或甚至大於99%之釕時,種子層2()2之材料可以 用雷2!^於9〇%或甚至大於"%之釕;當金屬’ 204係利 用電鍍的村形賴量百姐錄冒。或甚至錄99%之錄時, 種子層202之材料可以為重量百分比大於90%或甚至大於99〇/〇之 鎳。 因此’本發明以選擇性沈積製程來形成保護層18上之厚金屬 f路可,少材料之浪費,❹沒當貴金屬如金、銀雜使用時。 a較而δ ’使用以形成細薄膜金屬之標準鑲細製程,金屬係全 在晶圓上’使得整片晶®係覆蓋厚的金屬,造成晶圓翹 製程上之問題;且鑲嵌銅製程係以拋光方式去除不需要之 18 1308785The manufacturing process of the thick metal layer 30 as shown in FIG. 1b can be simplified by referring to FIG. 2a to FIG. 2f', where 12 represents a M〇s element or a polysilicon passive element including a gate, a source and a gate. The semiconductor device 丨2 may be connected to the electrical pad 16 via the metal/dielectric layer 14, and an opening 17 in the protective layer 18 exposes the electrical pad 16' to form a polymer by the manner described above. The layer 2 is on the protective layer 18, and the opening 27 in the polymer layer 20 exposes the electrical pads 16, as shown in Figure 2a. ^ Next, please refer to FIG. 2b first, after forming the polymer layer 20 onto the protective layer 18, the opening/covering layer 200 can be deposited on the polymer layer 2, the opening of the polymer layer 2 On the electrical contact 16, the material of the adhesion/obstruction layer is, for example, titanium alloy, chromium, chrome-copper alloy, titanium, group, slit compound or titanium nitride compound, and the thickness is about 3 micrometers. In a preferred embodiment, it is, for example, between 3 ng Str〇m and 5000 Å. Then, using the method of sputtering (_er) ore ("哗"), the seed layer 202 is formed on the adhesion/resistance 2 'the material of the seed layer 2〇2 such as copper, gold, silver, handle, ship, 姥, The thickness is between about _micrometers to 3 micrometers, and in a preferred embodiment, for example, 疋7 丨 between 300 angstroms and 10,000 angstroms. In addition, according to FIG. 2C, a thick photoresist layer 203 can be formed to pattern the thick photoresist layer 203 in a manner that the seed layer 202/, the degree is large, and the force is between 1 micrometer and a cubic micrometer, thereby opening the π 〇乂^^ J is opened in the thick photoresist layer 203 and exposed by the shed shirt ("Europe if you can use the exposure alignment (4) or 1 times steppei>s) to expose the photoresist layer (10) Ming first to Fig. 2d' can then use electroplating or 204 2〇3 17 1308785 genus layer 204 material such as copper, gold, silver, palladium, platinum, rhodium, iridium, between about 1 micron and excitation micron, in Preferably, when 2 μ = or even more than 99% of copper, the seed layer is moved with T99% of copper; when the metal layer 204 is made of the material of the electric clock, it is 90% or even more than 99%. In the case of gold, the seed layer 202 = 4 weights, 1%, or even more than 99% of the gold; the layer 204 is formed by electroplating; when the size is 2, the material of the seed layer 202 can be a large percentage by weight. Gu 2G4 Mei Yucheng weight percentage of one hundred eight + two ΐ 9% of the time 'the material of the seed layer 202 can be heavy or more than 99%; when the metal layer 204 Using the electric formula to form a percentage greater than 9G% or even greater than 99% _, the seed two Lili materials can be more than 9〇% by weight or even more than 99% of the weight; : metal layer 204 is the use of electric ore When the weight percentage is greater than 9〇% or even the amount of money, the material of the seed layer 2G2 may be more than the weight of the Xia 3^"%; when the metal layer 2〇4 is formed by the use of electric ore === When more than 90% or even more than 99% of the crucible, the material of the seed layer 2 () 2 can be used with Ray 2!^ at 9〇% or even greater than "%; when the metal '204 is using the electroplating village shape The amount of material of the seed layer 202 may be more than 90% by weight or even more than 99 〇 / 〇 of nickel. Therefore, the present invention forms a protective layer by a selective deposition process. 18 thick metal f road, less material waste, ❹ not used when precious metals such as gold, silver miscellaneous. a δ 'use to form a thin film metal standard inlay process, the metal system is all on the wafer 'Making the entire wafer® system cover a thick metal, causing the wafer to be warped Title; copper damascene process and system to polish the removal of undesired manner 181308785

您刀上之亏量不能形成厚的氧化矽介電層, 電層是非常昂貴的。 難,因為金屬線路之厚度 ☆電層所決定’然而由於 層,且沈積厚的氧化矽介 接著’請先參賴2e,在形成金屬層2〇4之後,可 H f3 :之後’請先參照圖2f,再關案化之金屬層綱作為韻 趟罩,透過侧的方式依序去除並未被金屬層2()4覆蓋的 層202及黏著/阻障層200,僅留下位在金屬層2〇4下 及黏著/阻障層200。 τ增 黏著/阻障層經自我對準㈣f_aligned)的濕式侧製程後,凹陷 部205 (undercut)會在黏著/阻障層2〇〇的周圍及金屬層2〇4的下 方形成。凹陷部205的凹陷深度約〇.〇3微米到2微米之間,其凹 陷深度會視蝕刻參數及蝕刻時間而定。在由濺鍍方式形成之種子 層202及以電鍍方式形成之金屬層2〇4間還有一明顯之界線,例 如可使用穿透式及掃描式電子顯微鏡(TEM)觀察到。 請參照圖2g,在上述製程中,當金屬層2〇4之材質係為銅時, 還可形成另一金屬層206在金屬層204上,用以防止材質為銅之 金屬層204腐蝕’其中金屬層2〇6的材質比如是金、銀、把、舶、 铑、釕或鎳,其厚度比如是介於i微米到1〇〇微米之間,在較佳 實施例中係介於2微米至10微米之間,而金屬層2〇4的厚度=如 疋介於1微米到100微米之間,在較佳實施例中係介於2微乎至 10微米之間。就製程而言’在形成金屬層204於光阻層2〇3内之 - 〇 5r: l . 2 Ch—t 年月日修正替換頁 1308785 開口所暴露出的種子層202上(如圖2d所示)之後,還可瓦刹用電· 鑛或無電電鑛的方式形成金屬層206在金屬層204上,之後可以 進仃去除光阻層203之製程,接著再以圖案化之金屬層2〇4作為 蝕刻遮罩,透過钮刻的方式依序去除並未被金屬層204覆蓋的種 子層202 + 及黏著/阻障層200 ’僅留下位在金屬層2〇4下的種子層 202及黏著/阻卩早層2〇〇。如此,在韻刻黏著/阻障層及種子層 202的過程中’藉由金屬層施《覆蓋可以避免侧液從上方侧 掉金屬層204’此時便可以使用對銅_速率較快的餘刻劑來侧 種子層202及黏著/阻障層200,如此可以減少金屬層2〇4之銅金 屬的消耗。在本實施例中,因為用於餘刻種子層2〇2及黏著/阻障 層200之姓刻劑會從金射綱之侧壁對其進行侧,因此會有 金屬層206之邊緣的下表面暴露於外的現象。 =用上賴輯軸之位在賴層18上之厚且寬的金屬線路 約在1微米到動微米之間’且同一層之相鄰的厚且寬 的金屬線路之線距可以大於2微米。The amount of damage on your knives does not form a thick yttria dielectric layer, which is very expensive. Difficult, because the thickness of the metal line is determined by the electric layer. However, due to the layer, and the deposition of thick yttrium oxide is followed by 'please refer to 2e first, after forming the metal layer 2〇4, Hf3: after 'please refer first Fig. 2f, the re-cut metal layer is used as a rhyme mask, and the layer 202 and the adhesion/barrier layer 200 not covered by the metal layer 2() 4 are sequentially removed through the side, leaving only the metal layer 2〇4 and adhesion/barrier layer 200. After the wet-side process of the τ-adhesion/barrier layer self-aligned (f) f_aligned, the undercut 205 (undercut) is formed around the adhesion/barrier layer 2〇〇 and under the metal layer 2〇4. The recessed portion 205 has a recess depth of between about 3 micrometers and 2 micrometers, and the depth of the recess depends on the etching parameters and the etching time. There is also a clear boundary between the seed layer 202 formed by sputtering and the metal layer 2〇4 formed by electroplating, for example, by transmission and scanning electron microscopy (TEM). Referring to FIG. 2g, in the above process, when the material of the metal layer 2〇4 is copper, another metal layer 206 may be formed on the metal layer 204 to prevent the metal layer 204 of the material from being corroded. The material of the metal layer 2〇6 is, for example, gold, silver, palladium, tantalum, niobium or nickel, and the thickness thereof is, for example, between i micrometers and 1 micrometer, and in the preferred embodiment is 2 micrometers. Between 10 microns and the thickness of the metal layer 2〇4 = such as between 1 micron and 100 microns, in the preferred embodiment between 2 and 10 microns. In terms of process, 'in the formation of the metal layer 204 in the photoresist layer 2〇3 - 〇5r: l. 2 Ch-t date correction replacement page 1308785 opening exposed on the seed layer 202 (as shown in Figure 2d) After the display, the metal layer 206 may be formed on the metal layer 204 by means of electricity, ore or electroless ore, and then the process of removing the photoresist layer 203 may be performed, followed by patterning the metal layer 2 4 as an etch mask, sequentially removing the seed layer 202 + and the adhesion/barrier layer 200 that are not covered by the metal layer 204 by means of button etching, leaving only the seed layer 202 and the adhesive layer under the metal layer 2〇4 / Block the early layer 2 〇〇. In this way, in the process of engraving the adhesion/barrier layer and the seed layer 202, 'covering by the metal layer can prevent the side liquid from falling off the metal layer 204 from the upper side', and then the copper can be used. The engraving agent is applied to the side seed layer 202 and the adhesion/barrier layer 200, so that the consumption of the copper metal of the metal layer 2〇4 can be reduced. In the present embodiment, since the surname for the residual seed layer 2〇2 and the adhesion/barrier layer 200 is side-by-side from the side wall of the gold spheroid, there will be a lower edge of the metal layer 206. The phenomenon of the surface being exposed to the outside. = The thick and wide metal line on the lamella layer 18 is between about 1 micrometer and the moving micrometer. The thickness of the thick and wide metal lines adjacent to the same layer can be greater than 2 micrometers. .

上述之位在保護層18上之金屬製程可以在等級1〇〇或議以 2無塵室_造’且在製作保護層18上之金屬線路時,可以使 =光對賴(aligne械是!倍(1Χ)之喊步進離哪㈣對厚 微^到獅微米之間的光阻層2〇3進行微影製程,因此 本可以甚低。相較於1c薄膜細金屬,需 使用5倍(5X)之曝光步進機、掃描機(scanners)或是更佳之 时料祕丨,目輯置縣及機器設 請參照圖2h ’在形成厚且寬的金屬線路於聚合物層汾上之 =,可以形成另-聚合物層222在厚且寬的金^ 上,用以保護之前形成之厚且寬的金屬線路,其中 «的製作方法可以參考前述之聚合物層2G之製作&法',二在 20The above-mentioned metal process on the protective layer 18 can be made at level 1 or 2 clean room_made' and when the metal line on the protective layer 18 is made, the aligning device can be made! (1) shouting step by step (4) lithography process between the micro-^ to the shi-micron photoresist layer 2〇3, so it can be very low. Compared to the 1c film fine metal, it needs to use 5 times (5X) exposure stepper, scanner (or better), better time, please refer to Figure 2h 'in the formation of thick and wide metal lines on the polymer layer =, the additional polymer layer 222 can be formed on the thick and wide gold to protect the previously formed thick and wide metal wiring, wherein the manufacturing method of the polymer layer 2G can be referred to ', two at 20

I I 1308785 年月日修至替換頁 聚合物層222内之開口 223可以暴露出厚且寬的 墊,之後可以形成錫鉛凸塊或金凸塊在此接墊上,或者以打線製 程所形成之金導線可以接合在此接墊上。 然而本發明的應用並不限於此,由於受到凸起之厚且寬的 屬線路的影響,係無法形成具有平坦之上表面的聚合物層222,因 此可以藉由平坦化聚合物層222之製程形成具有平坦上表面之 ^物層222 ’如® 2i所示,其中聚合物層222的材質比如是苯基 環丁烯、聚醯亞胺、聚亞芳香細(paiylene)、多孔性介電= 彈性體等。請參照圖2i,詳細製程比如係如下所述:在以旋轉塗 佈的方式形成聚合物層222之後’可以經過艇烤的步驟使聚合物 層222硬化,接著再利用機械研磨製程或化學機械研磨製程平坦 化聚合物層222的上表面’接著再_微刻的方式形成開口 223在聚合物層222内’以暴露出厚且寬的金屬線路之接塾。或 者’亦可以是其他製程:在以旋轉塗佈的方式形成聚合物層奶 之後,可關雜械研絲喊化學顧研磨 ί2的上表面,接著再利用微雜刻的方式形成開口 口物層222内,以暴露出厚且寬的金屬線路之接墊 烘烤的步驟使聚合物層222硬化。或者,亦可以是1^、、. ^ 以f轉塗佈的方式形成聚合物層222之後,可 方式形成開口 223在聚合物層222内,以 ^屬2 路之接塾’接著細機械研磨製程或化學機械 聚合物層222的上表面,之後再經過輯的步驟使聚合 a 硬化。或者,亦相是其他抛:在賤轉塗 ^ ,222之後’可以侧微影侧的方式形成開口 的步驟使聚合物層222硬化,之後再利用㈣f者再、㈣烘烤 械研磨製奸坦彳味合騎2ms i彻紐或化學機 幻上表面。在上述形成平坦的聚 21 1308785 ==¾環—所 屬物層在如圖2g^之财碰層18上之厚且寬的金 上之;®厚金屬線路的形成方法中,位在保護層18 ===在層二内二口, 關制 1 許更多的厚金屬線路形成在聚合物層20上,相 關製程可以參照圖2j及圖2k。 物^在形成黏著/阻障層200及種子層202於聚合 „曰 、Λ 5物層20内之開口 27的側壁上及保護層18内之 =ί=性接塾16上之後,可以形成圖案二二 二二上之種子層2〇2上,部份之圖案化光阻層203 合物,内之開口 27中且覆蓋位在開口 27之側壁上的 ,子曰2〇2’接著可以形成金屬層施在光阻層2〇3内之開口所暴 路出的種子層202上。在本實施例中,黏著/阻障層2⑻、種子層 2^)2 ^金屬層204的形成方法、材質及厚度可以分別參考圖2a 2f 中黏著/阻障層罵、種子層2G2及金屬層綱的形成方法 及厚度之說明。 清參照® 2k ’接著可以去除圖案化光阻層2〇3及未在金屬層 204下的種子層202及黏著/阻障層2〇〇,其中在種子層下及黏著/ 阻障層2〇0的周圍係存在—凹陷部2〇5。在本實施例中,凹陷部 205的尺寸可以參考圖2f中凹陷部2〇5的尺寸之說明 。如此,位 在保護層18上之厚金屬、線路可以僅覆蓋聚合物層2〇内之開口 27 的部份侧壁。 22II 1308785 The opening 223 in the polymer layer 222 of the replacement page can expose a thick and wide pad, and then a tin-lead bump or a gold bump can be formed on the pad, or the gold formed by the wire bonding process. Wires can be bonded to this pad. However, the application of the present invention is not limited thereto, and the polymer layer 222 having a flat upper surface cannot be formed due to the influence of the thick and wide line of the protrusions, so that the process of planarizing the polymer layer 222 can be performed. Forming a layer 222' having a flat upper surface as shown in FIG. 2i, wherein the material of the polymer layer 222 is, for example, phenylcyclobutene, polyimine, paiylene, porous dielectric = Elastomers, etc. Referring to FIG. 2i, the detailed process is as follows: after the polymer layer 222 is formed by spin coating, the polymer layer 222 can be hardened by a boat roasting process, followed by mechanical polishing or chemical mechanical polishing. The upper surface of the process planarization polymer layer 222' then forms an opening 223 in the polymer layer 222 to expose a thick and wide metal line junction. Or 'may be other processes: after forming the polymer layer milk by spin coating, the upper surface of the grinding ί2 can be turned off, and then the open mouth layer can be formed by micro-engraving. Within 222, the polymer layer 222 is cured by a step of exposing the pads of a thick and wide metal line. Alternatively, after the polymer layer 222 is formed by f-transfer coating, the opening 223 may be formed in the polymer layer 222 by a two-way connection followed by fine mechanical grinding. The upper surface of the process or chemical mechanical polymer layer 222 is then subjected to a series of steps to harden the polymerization a. Or, it is also another throw: after the 贱 涂 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,彳味合骑 2ms i Che New or chemical machine magic upper surface. In the above-mentioned formation of a flat poly 21 1308785 == 3⁄4 ring - the object layer is on the thick and wide gold on the financial layer 18 of FIG. 2g; in the method of forming a thick metal line, in the protective layer 18 ===In the second layer of the second layer, more thick metal lines are formed on the polymer layer 20, and the related processes can be referred to FIG. 2j and FIG. 2k. The pattern can be formed after the adhesion/barrier layer 200 and the seed layer 202 are formed on the sidewalls of the openings 27 in the polymer layer 20 and the masks 16 in the protective layer 18. On the seed layer 2〇2 on the 2222, part of the patterned photoresist layer 203, in the opening 27 of the inner surface and covering the sidewall of the opening 27, the sub-曰2〇2' can then be formed. The metal layer is applied to the seed layer 202 where the opening in the photoresist layer 2〇3 is violently exposed. In this embodiment, the adhesion/barrier layer 2 (8), the seed layer 2^) 2 ^ the formation method and material of the metal layer 204 The thickness can be referred to the adhesion/barrier layer 骂, the seed layer 2G2 and the metal layer formation method and thickness description in Fig. 2a 2f, respectively. Clear reference ® 2k ' can then remove the patterned photoresist layer 2 〇 3 and not in the metal The seed layer 202 and the adhesion/barrier layer 2 under the layer 204, wherein the depressed portion 2〇5 exists under the seed layer and around the adhesion/barrier layer 2〇0. In this embodiment, the depressed portion The size of 205 can be referred to the description of the size of the recess 2〇5 in Fig. 2f. Thus, the thick metal and line on the protective layer 18 The road may cover only a portion of the side walls of the opening 27 in the polymer layer 2〇.

1308785 另外’當金屬層204之材質係為銅時,還可形成另一金屬声 206在金屬層204上’用以防止材質為銅之金屬層2〇4腐钱,其; 金屬層206的材質比如是金、銀、鈀、鉑、錢、針或鎳,其厚度 比如是介於1微米到100微米之間,而金屬層_ 2〇4的厚度比如是 介於1微米到100微米之間’如圖21及圖2tn所示。請先炎照21 圖所示,就製程而言,在形成金屬層204於光阻層203内之開口 所暴露出的種子層202上(如圖2j所示)之後,還可以利用電鍍或 無電電鑛的方式形成金屬層206在金屬層204上,接著請來照圖 2m,之後可以進行去除光阻層203等製程,其後續製程係如g所 述,在此便不再贅述。 請參照圖2j至圖2m’聚合物層20中之開口 27的最大橫向尺 寸係大於在保護層18中之開口 17的最大橫向尺寸,其中保護層 18之開口 17的最大橫向尺寸比如是介於〇·ι微米到5〇微米之間, 在較佳的情況下’比如是介於0.5微米到20微米之間,且電性接 墊16的最大橫向尺寸比如是介於〇.1微米到5〇微米之間,在較佳 的情況下’比如是介於0.5微米到20微米之間。聚合物層20之開 口 27的最大橫向尺寸比如是介於1微米到1〇〇微米之間,在較佳 的情況下,比如是介於2微米到30微米之間。 在一實施例中’亦可以在保護層上,形成多層之厚金屬層及 厚聚合物層’如圖3a及圖3b所示。請先參照圖3a,聚合物層2〇 係形成在保護層18上,聚合物層20的材質及形成方法可以參考 如圖lb所示之聚合物層20的材質及形成方法;接著形成厚金屬 層30在聚合物層20上,且經由聚合物層20内及保護層18内之 開口連接電性接墊16,厚金屬層30的詳細結構及形成方法可以參 考如圖2a-2g及2j-2m所示之厚金屬層的詳細結構及形成方法;接 著形成聚合物層50在聚合物層20上及厚金屬層30上,聚合物層 50的材質及形成方法可以參考如圖比所示之聚合物層20的材質 23 1308785 及形成方法;接著形成厚金屬層60在聚合物層5〇上,且經由聚 合物層50内之開口連接厚金屬層30,厚金屬層5〇的詳細結構及 形成方法可以參考如圖2a_2g及2j-2m所示之厚金屬層的詳細結構 及形成方法;接著形成聚合物層70在聚合物層5〇及厚金屬層6〇 上,聚合物層70的材質及形成方法可以參考如圖比所示之^合 物層20的材質及形成方法,位在聚合物層7〇中的開口 77可以暴 露出厚金屬層60之接墊,之後可以形成錫鉛凸塊或金凸塊在此接 墊上,或者以打線製程所形成之金導線可以接合在此接墊上。如 此,一直重複地依序形成厚金屬層及厚聚合物層即可在保護層18 # 上形成多層之寬且厚的金屬線路結構。 請先參照圖3b,聚合物層20係形成在保護層18上,聚合物 層20的材質及形成方法可以參考如圖lb所示之聚合物層2〇的材 質及形成方法;接著形成厚金屬層30在聚合物層20上,且經由 聚合物層20内及保護層18内之開口連接電性接塾16,厚金屬層 30的詳細結構及形成方法可以參考如圖2a-2g及2j-2m所示之厚 金屬層的詳細結構及形成方法;接著形成具有平坦上表面之聚合 物層50在聚合物層20上及厚金屬層30上,聚合物層50的材質 及形成方法可以參考如圖2i所示之聚合物層222的材質及形成方 法;接著形成厚金屬層60在具有平坦上表面之聚合物層50上, 且經由聚合物層50内之開口連接厚金屬層30,厚金屬層50的詳 細結構及形成方法可以參考如圖2a-2g及2j-2m所示之厚金屬層的 詳細結構及形成方法;接著形成聚合物層70在聚合物層50及厚 金屬層60上,聚合物層70的材質及形成方法可以參考如圖lb所 示之聚合物層20的材質及形成方法,位在聚合物層70中的開口 77可以暴露出厚金屬層60之接藝,之後可以形成錫錯凸塊或金凸 塊在此接墊上,或者以打線製程所形成之金導線可以接合在此接 墊上。如此,一直重複地依序形成厚金屬層及厚聚合物層即可在 24 1308785 保護層18上形成多層之寬且厚的金屬線路結構。 請參照圖3c ’聚合物層20係形成在保護層18上,聚合物層 20的材質及形成方法可以參考如圖ib所示之聚合物層20的材質 及形成方法;接著形成厚金屬層30在聚合物層20上,且經由聚 合物層20内及保護層18内之開口連接電性接墊16,厚金屬層3〇 的詳細結構及形成方法可以參考如圖2a-2g及2j-2m所示之厚金屬 層的詳細結構及形成方法;接著形成聚合物層50在聚合物層2〇 上及厚金屬層30上,且聚合物層50係覆蓋聚合物層20的侧壁, 值得注意的是,當在形成聚合物層5〇時,可以先利用旋轉塗佈的 鲁方式形成一聚合物薄膜在厚金屬層30上、聚合物層20上、保護 層18上及保護層18内之開口 29所暴露出的電性接墊16上,之 後在進行圖案化聚合物薄膜時,可以利用微影或微影蝕刻的方式 去除位在保護層上之聚合物薄膜及位在開口 29所暴露出的電性接 墊16上之聚合物薄膜,並且可以形成開口 28暴露出厚金屬層3〇 之接墊,上述聚合物層50之材質及更詳細的形成方法可以參考前 述之如圖lb所示之聚合物層20的材質及形成方法;之後,可以 形成錫鉛凸塊或金凸塊在聚合物層5〇内之開口 28所暴露出的接 墊上或保護層18内之開口 29所暴露出的電性接墊16上,或者以 打線製程所形成之金導線可以接合在聚合物層5〇内之開口 28所 暴露出的接墊上或保護層18内之開口 29所暴露出的電性接墊16 上。 S月參照圖3a、圖3b及圖3c,透過保護層18上之厚金屬層3〇 的厚金屬祕%可以連接二M〇s元件之· 119。在保護層18 上之厚金屬線路26除了可以作為訊號傳輸之功能外,還可以作為 ,於電源之電源匯流排或電源平面’並且可以連接到位在保 護層18下之薄膜金屬層的電源匯流排或電源平面;此外,在保護 層18上之厚金屬線路26還可以作為用於接地分布之接地匯流排 25 1308785 月日修jE替換頁 t替換頁 '"^mwmewwewwj 或接地平面’並且可以連接到位在保護層18下之薄膜金屬層的接 地匯流排或接地平面。如此,藉由形成厚金屬線路層30及60在 保護層18上來製作訊號線路、電源或接地匯流排可以簡化透過錫 錯凸塊、金凸塊或打線導線與半導體晶片連接之印刷電路板的内 部線路結構。 請參照圖3d,一金屬蓋99可以形成在保護層18内之開口所 暴露出之比如是濺鍍鋁或鑲嵌銅的電性接墊16上,此金屬蓋99 可以包括賤鑛鋁或電鍍金。在形成金屬蓋99之後,可以形成聚合 物層20在保護層18上,聚合物層2〇的材質及形成方法可以參考 如圖lb所示之聚合物層2〇的材質及形成方法,位在聚合物層2〇 内之開口暴露出金屬蓋99,厚金屬層30可以形成在聚合物層20 上’並經由聚合物層20内之開口連接至金屬蓋99,其中厚金屬層 30的詳細結構及形成方法可以參考如圖2a-2g及2j-2m所示之厚 金屬層2詳細結構及碱方法。暴露於外之金屬蓋"(位在最左側 ,金屬盍99)可以透過位在保護層18下之比如是賤齡呂細咖㈣ aummum)或鑲谈銅(damascene c〇pper)所形成的短距離内連線98 位在保護層18上之厚金屬層之厚金屬線路97,其中短距離 x/r j8之繞線長度咖係介於5G微米至1GGG微米之間。在形 的^㈣之後’雜凸塊、金凸塊或是棚打線製程所形成 Μ可以形成在暴露於外之金屬蓋99 (位在最左側之金屬 狐99)上’藉以電性連接至外部線路。 層SoHi、圖%、圖%及圖%中係接露在形成厚金屬 i可以1=,聚合物層2G ’然而本發明的應職不限於此, 接觸‘成祕的步驟’而是將厚金顧30直接 26 1308785 曰乂Λ* Afe 年月日修主替換頁 疋作為訊號傳輸、接地電壓連接或電源電壓連接之 6b及7b繪示透過位在保護層上厚且寬的金屬線路實現扇出接 塾、接墊變換位置、減少接墊配置及增加接墊配置之概念,其中 接塾比如是作為訊號傳輸、接地電壓連接或電源電壓連^之用、。 圖4a繪示BGA基板之扇開概念用在覆晶封裝上的情形,係 以含有5個錫鉛凸塊10M05之一積體電路丨⑻為例,藉^使用 BGA基板130中之線路1〇7,凸塊1〇1可改變輸入/輸出^的位置 至植球桿球111的位置,凸塊1〇2可改變輸入/輸出點的位置至植 球焊球112的位置,凸塊104及105同樣地也可改變輪入/輪出點 至植球焊球114及115的位置。相鄰之連接點ln到U5的間距可 以大於相鄰之錫鉛凸塊101到105之間距,且愈靠近半導體晶 1〇〇中間位置的植球焊球相對於與其電性連接之凸塊的橫向= 愈小,比如是植球焊球113相對於凸塊103的橫向位移 球焊球111相對於凸塊101的橫向位移。 4 圖5a繪示輸入/輸出點改變位置之概念用在覆晶封裝 BGA基板上的情形,係以含有5個·凸塊1()1摘之 路100為例。藉由使用BGA基板do内之金屬線路131,bga ^ 板130之下方的接墊可以任意之排序來重新改變位置,視: 计或封裝結構來決定。例如’位於積體電路⑽上最^ : 10卜經由BGA基板130内之金屬線路131重新配 接至BGA基板130下方之右邊第二個以植球方式所形成之 球124。其他凸塊1〇2_1〇5經由BGA基板13〇内之金屬線、 重新配置後,可以分別連接至其他以植球方式 錫 125、122、123及121。 々於斗球 圖6a繪示輸入/輸出接,點減少之概念應 腿基板上的情形,錫錯凸塊1〇1⑽可以連接至 源、接地或是滅触,其巾細含有5個舰凸塊如^之^ 27 1308785 積體電路100為例。含線路之BGA基板13〇結構 線路包括三個線路單位132、134、136,比如分別用於積體電ς中 的電源分佈、接地分佈及訊號分佈。BGA基板130内的線路132 可以連接錫鉛凸塊101、103及105至BGA基板13〇下方之一連 接點138 ’其比如是以植球方式所形成之錫鉛焊球。其他凸塊ι〇2 及104經由BGA基板130内之金屬線路134及136重新配置後, 可以分別連接至其他崎球方式卿成之錫鉛焊球142及14〇。在 本方法中,透過BGA基板130 ’用來連接麵電路刚的輸入/ 輸出接點之總數目可以由原先的五個減少為三個。在一些含有很 多錫鉛凸塊之情形,也就是傳統覆晶用積體電路之情況,^'由bga 基板130重新配置線路以形成較少的輸入/輸出接點之數目是較為 有利的。 圖7a中繪示輸入/輸出接點增加之概念應用在覆晶封裝連接 至BGA基板上的情形,其中係以含有3個錫錯凸塊如_⑽之一 積體電路100為例。含線路之BGA基板13〇結構如圖所示,其中 線路包括三個線路單位⑸、153、155,比如分別用於積體電路中 的電源分佈、接地分佈及峨分佈。BGA基板m⑽線路153 可以連接錫錯凸塊1G3至BGA基板13G下方之三個連接點⑹、 163、I65,其比如是以植球方式所形成之錫錯焊球。其他凸塊仙 及105經由BGA基板130内之金屬線路151及155重新配置後, 可以分別連接至其他以植球方式所形成之錫鉛焊球162及164。在 本方法中’透過BGA基板130,用來連接積體電路1〇〇的輸入/ 輸出接點之總數目可以由原柄三個增加為五個。 上述BGA基板130之功能,包括扇開接墊、改變接墊位置、 減少接塾配置、及增加接塾配置的概念,可以透過上述之位在保 護層上之厚金屬線路實現。從圖4b、圖5b、圖你、圖%中= 出’位在保護層上之厚金屬線路可以實現扇離塾、改變接塾位 28 1308785 置置、及增加接墊配置的概念,如下所述。 ’、農層上形成之厚金屬線路可以具有扇出接墊的功沪, 4晴示厚金雜路具有扇出接墊功能之示意^, ^口所暴露出之金屬接塾301_305可以透過前述 是^ 1 ,分別扇出至連外接點311-315,其比如 +山° A、、凸塊或是打線接塾。位在保護層4内之開口所晨 露出之金屬接墊301-305可以是矩障_ 乂曰奋a,開口所暴 :中的-列,金屬 c順序地分別扇出至平行排列的連外接點阳如,扇I之 =====本實施例中衡示謝 屬接執編w連卜接 間距可以是大於相鄰之金 相對於與其電性連 目向酬卿卜如11相對 ,保騎4上職之厚金祕路可以具有觸配置接塾位置 的功能,如圖%所示。圖5b繪示厚金屬_具有重新配 =墊位置及藝魏^意圖,透爾叙賴層4上之厚金 ^線路可以將保護層4之開口所暴露出之金難墊3〇13 ,至順序及位置與金屬接塾3㈣5不同之連外接點奶、3」運 連外接點321_325比如是錫錯凸塊、金凸塊 5_可以是大於相 ‘在保護層4上形成之厚金屬線路可以具有減少接墊配置的功 ^如圖6b所示。圖6b綠示厚金屬線路具有減少接塾配 之不意圖’透過前述之保護層4上之厚金屬線路可以將位在保護 層4内之開口所暴露出之金屬接墊3〇卜3〇5連接至一連外接點 29 1308785 ^以執行相同的功能,比如是作為分佈電源電壓、^佈接^電 壓或是分佈訊號而用,而金屬接墊303、3〇4分別連接至連外接點 332、330 ’其中連外接點328、330、332比如是錫鉛凸塊、金‘凸 塊或是打線接墊。在本實施例中,連外接點328、33〇、332的麴 數目可以少於位在保護層4内之開口所暴露出之金屬接墊3〇13= 的總數目。 “在保°蔓層4上形成之厚金屬線路可以具有增加接墊配置的功 能二如圖7b所示。圖7b繪示厚金屬線路具有增加接墊配置功能 之示意圖’透過前述之保護層4上之厚金屬線路可以將位在保護 醍層4内之開口所暴露出之一金屬接墊3〇3連接至多個連外接點 36卜363及365 ’以執行相同的功能,比如是作為分佈電源電壓、 分佈接地電壓或是分佈訊號而用,金屬接墊3(n、3〇5分別連接至 連外接點362、364 ’其中連外接點361_365比如是錫錯凸塊、金 凸塊或是打線接墊。在本實施例中,連外接點361365的總數目可 以多於位在保護層4内之開口所暴露出之金屬接墊3〇1、3&及3〇5 的總數目。 在其他實施例中,利用上述形成位在保護層上之厚金屬層的 製程,可以形成電感元件在保護層上,如圖8a所示,其中電感元 件比如是由水平環繞之線圈所構成。在形成聚合物層2〇於保護層 18上之後,可以形成一厚金屬層在聚合物層2〇上,聚合物層2〇 的材貝及开>成方法可以參考如圖lb所示之聚合物層2〇的材質及 形成方法,接著,接著形成包括厚金屬線路26及電感元件34〇之 厚金屬層在聚合物層20上,且經由聚合物層2〇内及保護層18内 之開口連接電性接墊16,厚金屬層的詳細結構及形成方法可以參 考如圖2a-2g及2j-2m所示之厚金屬層的詳細結構及形成方法。在 本實施例中,電感元件340係為平面的形式,且平行於基底1〇的 表面,而藉由多層之金屬/介電層14、保護層18及厚聚合物層2〇 30 1308785 Ι0ϊ 所墊起來的高度,可以使得電感元件340可以遠離比一 底10,故可以減少在基底10内因電感元件所誘發之渦電流效應一, 且電感元件340係藉由寬且厚之金屬線路結構所構成,故可以減 少電阻能罝的損耗,因此電感元件340之品質參數可以提高。其 中,龟感元件340可以利用電鑛的方式,形成比如是金、銀或銅1308785 In addition, when the material of the metal layer 204 is copper, another metal sound 206 can be formed on the metal layer 204 to prevent the material from being a metal layer of copper 2〇4, and the material of the metal layer 206 For example, gold, silver, palladium, platinum, money, needle or nickel, the thickness of which is, for example, between 1 micrometer and 100 micrometers, and the thickness of the metal layer _ 2〇4 is, for example, between 1 micrometer and 100 micrometers. 'As shown in Figure 21 and Figure 2tn. In the case of the process, as shown in Fig. 21, after the formation of the metal layer 204 on the seed layer 202 exposed by the opening in the photoresist layer 203 (as shown in Fig. 2j), electroplating or no The electroforming method forms a metal layer 206 on the metal layer 204, and then please refer to FIG. 2m, after which the process of removing the photoresist layer 203 can be performed, and the subsequent process is as described in g, and will not be described herein. Referring to FIG. 2j to FIG. 2m, the maximum lateral dimension of the opening 27 in the polymer layer 20 is greater than the maximum lateral dimension of the opening 17 in the protective layer 18, wherein the maximum lateral dimension of the opening 17 of the protective layer 18 is, for example, Between 1 micron and 5 micron, in the preferred case 'such as between 0.5 micrometers and 20 micrometers, and the maximum lateral dimension of the electrical pad 16 is, for example, between 1 and 5 micrometers to 5 micrometers. Between microns, preferably in the case of, for example, between 0.5 microns and 20 microns. The maximum lateral dimension of the opening 27 of the polymer layer 20 is, for example, between 1 micrometer and 1 micrometer, and preferably between 2 and 30 micrometers. In one embodiment, a plurality of thick metal layers and thick polymer layers may be formed on the protective layer as shown in Figures 3a and 3b. Referring to FIG. 3a, the polymer layer 2 is formed on the protective layer 18. The material and formation method of the polymer layer 20 can refer to the material and formation method of the polymer layer 20 as shown in FIG. 1b; then forming a thick metal. The layer 30 is on the polymer layer 20, and the electrical pads 16 are connected through the openings in the polymer layer 20 and the protective layer 18. The detailed structure and formation method of the thick metal layer 30 can be referred to as shown in Figures 2a-2g and 2j- The detailed structure and formation method of the thick metal layer shown in 2m; then the polymer layer 50 is formed on the polymer layer 20 and the thick metal layer 30. The material and formation method of the polymer layer 50 can be referred to as shown in the figure. The material of the polymer layer 20 is 23 1308785 and a forming method; then, the thick metal layer 60 is formed on the polymer layer 5, and the thick metal layer 30 is connected via the opening in the polymer layer 50, and the detailed structure of the thick metal layer 5〇 and For the formation method, reference may be made to the detailed structure and formation method of the thick metal layer shown in FIGS. 2a-2g and 2j-2m; then, the polymer layer 70 is formed on the polymer layer 5〇 and the thick metal layer 6〇, and the material of the polymer layer 70 is formed. And the formation method can refer to the figure shown in The material of the layer 20 and the formation method thereof, the opening 77 in the polymer layer 7〇 can expose the pad of the thick metal layer 60, and then a tin-lead bump or a gold bump can be formed on the pad. Alternatively, a gold wire formed by a wire bonding process can be bonded to the pad. Thus, a thick metal layer structure having a plurality of layers can be formed on the protective layer 18 # by repeatedly forming a thick metal layer and a thick polymer layer in this order. Referring to FIG. 3b, the polymer layer 20 is formed on the protective layer 18. For the material and formation method of the polymer layer 20, reference may be made to the material and formation method of the polymer layer 2〇 shown in FIG. 1b; then forming a thick metal. The layer 30 is on the polymer layer 20, and the electrical interface 16 is connected through the openings in the polymer layer 20 and the protective layer 18. The detailed structure and formation method of the thick metal layer 30 can be referred to as shown in Figures 2a-2g and 2j- The detailed structure and formation method of the thick metal layer shown in 2m; then, the polymer layer 50 having the flat upper surface is formed on the polymer layer 20 and the thick metal layer 30. The material and formation method of the polymer layer 50 can be referred to as The material and formation method of the polymer layer 222 shown in FIG. 2i; then forming a thick metal layer 60 on the polymer layer 50 having a flat upper surface, and connecting the thick metal layer 30 via the opening in the polymer layer 50, thick metal For the detailed structure and formation method of the layer 50, reference may be made to the detailed structure and formation method of the thick metal layer shown in FIGS. 2a-2g and 2j-2m; then, the polymer layer 70 is formed on the polymer layer 50 and the thick metal layer 60, Material and formation of polymer layer 70 The method can refer to the material and formation method of the polymer layer 20 as shown in FIG. 1b. The opening 77 in the polymer layer 70 can expose the bonding of the thick metal layer 60, and then the tin bump or gold bump can be formed. The gold wire formed on the pad or the wire bonding process can be bonded to the pad. Thus, a plurality of thick and thick metal wiring structures can be formed on the 24 1308785 protective layer 18 by repeatedly forming a thick metal layer and a thick polymer layer in sequence. Referring to FIG. 3c, the polymer layer 20 is formed on the protective layer 18. The material and formation method of the polymer layer 20 can be referred to the material and forming method of the polymer layer 20 as shown in FIG. ib; then, the thick metal layer 30 is formed. On the polymer layer 20, and connecting the electrical pads 16 through the openings in the polymer layer 20 and the protective layer 18, the detailed structure and formation method of the thick metal layer 3 can refer to Figures 2a-2g and 2j-2m. Detailed structure and formation method of the thick metal layer shown; then, the polymer layer 50 is formed on the polymer layer 2 and the thick metal layer 30, and the polymer layer 50 covers the sidewall of the polymer layer 20, it is worth noting When forming the polymer layer 5, a polymer film may be formed on the thick metal layer 30, the polymer layer 20, the protective layer 18, and the protective layer 18 by spin coating. The conductive pad 16 exposed by the opening 29 is then exposed to the polymer film on the protective layer and exposed by the opening 29 by lithography or lithography during the patterning of the polymer film. a polymer film on the electrical pad 16 The opening 28 can be formed to expose the thick metal layer 3〇. The material of the polymer layer 50 and a more detailed forming method can refer to the material and forming method of the polymer layer 20 as shown in FIG. The tin-lead bumps or gold bumps may be formed on the pads exposed by the openings 28 in the polymer layer 5〇 or on the electrical pads 16 exposed by the openings 29 in the protective layer 18, or in a wire bonding process. The gold wire formed may be bonded to the pads exposed by the openings 28 in the polymer layer 5 or to the electrical pads 16 exposed by the openings 29 in the protective layer 18. Referring to Figures 3a, 3b and 3c, the thick metal layer 3 of the thick metal layer 3 on the protective layer 18 can be connected to the 119 of the two M?s elements. In addition to functioning as a signal transmission function, the thick metal line 26 on the protective layer 18 can also serve as a power bus for the power supply bus or power plane of the power supply and can be connected to the thin film metal layer under the protective layer 18. Or a power plane; in addition, the thick metal line 26 on the protective layer 18 can also serve as a ground bus for the ground distribution. 25 1308785 Replacement page t replacement page '"^mwmewwewwj or ground plane' and can be connected The ground bus or ground plane of the thin film metal layer under the protective layer 18. Thus, by forming the thick metal wiring layers 30 and 60 on the protective layer 18 to form a signal line, a power supply or a ground busbar, the inside of the printed circuit board connected to the semiconductor wafer through the tin bump, the gold bump or the wire bonding wire can be simplified. Line structure. Referring to FIG. 3d, a metal cover 99 may be formed on the electrical pad 16 such as sputtered aluminum or inlaid copper exposed by the opening in the protective layer 18. The metal cover 99 may include beryllium or aluminum or electroplated gold. . After the metal cover 99 is formed, the polymer layer 20 can be formed on the protective layer 18. The material and formation method of the polymer layer 2 can be referred to the material and formation method of the polymer layer 2〇 shown in FIG. The opening in the polymer layer 2 暴露 exposes a metal cover 99, which may be formed on the polymer layer 20' and connected to the metal cover 99 via an opening in the polymer layer 20, wherein the detailed structure of the thick metal layer 30 For the formation method, reference may be made to the detailed structure of the thick metal layer 2 and the alkali method as shown in FIGS. 2a-2g and 2j-2m. The exposed metal cover " (located on the far left, metal 盍99) can be formed by a layer of aummum under the protective layer 18, or a damascene c〇pper. The short-distance interconnects 98 thick metal lines 97 of a thick metal layer on the protective layer 18, wherein the short-distance x/r j8 winding length is between 5G micrometers and 1GGG micrometers. After the shape of ^ (four), the "bump, gold bump or shed line process" can be formed on the exposed metal cover 99 (located on the leftmost metal fox 99) 'by electrically connecting to the outside line. The layer SoHi, the figure %, the figure %, and the figure % are exposed to form a thick metal i can be 1 =, the polymer layer 2G ' However, the application of the present invention is not limited thereto, and the step of contacting the 'secret' is thick Jin Gu 30 Direct 26 1308785 曰乂Λ* Afe Year of the Month Replacement Page 疋 6b and 7b as signal transmission, ground voltage connection or power voltage connection, showing the thick and wide metal line through the protective layer The concept of connecting the 塾, the pad changing position, reducing the pad configuration and increasing the pad configuration, wherein the interface is used for signal transmission, ground voltage connection or power supply voltage connection, for example. FIG. 4a illustrates the case where the fan-opening concept of the BGA substrate is used on the flip-chip package, and the integrated circuit 丨(8) containing five tin-lead bumps 10M05 is taken as an example, and the line in the BGA substrate 130 is used. 7. The bump 1〇1 can change the position of the input/output ^ to the position of the ball club ball 111, and the bump 1〇2 can change the position of the input/output point to the position of the ball solder ball 112, the bump 104 and 105 can also change the position of the wheeling/rounding point to the ball solder balls 114 and 115. The spacing between the adjacent connection points ln to U5 may be greater than the distance between the adjacent tin-lead bumps 101 to 105, and the closer to the intermediate position of the semiconductor crystal 1 的, the ball solder balls are opposite to the bumps electrically connected thereto The smaller the lateral direction, such as the lateral displacement of the ball solder ball 113 relative to the lateral displacement of the bump 103 relative to the bump 101. 4 Figure 5a shows the concept of changing the position of the input/output point on the flip chip package BGA substrate, taking the road 100 with 5 bumps 1) as an example. By using the metal lines 131 in the BGA substrate do, the pads under the bga^ board 130 can be reordered in any order, depending on the meter or package structure. For example, 'the most integrated circuit on the integrated circuit (10) is re-bonded to the second ball on the right side of the BGA substrate 130 via the metal line 131 in the BGA substrate 130 to form a ball 124 formed by ball placement. The other bumps 1〇2_1〇5 are re-arranged via the metal wires in the BGA substrate 13 and can be respectively connected to other ball-forming tins 125, 122, 123 and 121. Figure 6a shows the input/output connection. The concept of point reduction should be on the leg substrate. The tin bump 1〇1(10) can be connected to the source, ground or touch. The towel contains 5 ship convexs. A block such as ^^^ 27 1308785 integrated circuit 100 is taken as an example. Line-containing BGA substrate 13〇 structure The line includes three line units 132, 134, and 136, such as power distribution, ground distribution, and signal distribution in the integrated power supply, respectively. The line 132 in the BGA substrate 130 may be connected to the tin-lead bumps 101, 103 and 105 to a connection point 138' below the BGA substrate 13 which is, for example, a tin-lead solder ball formed by ball implantation. The other bumps ι〇2 and 104 are reconfigured via the metal lines 134 and 136 in the BGA substrate 130, and can be respectively connected to other spheroidal tin-plated solder balls 142 and 14 〇. In the method, the total number of input/output contacts for connecting the surface circuits through the BGA substrate 130' can be reduced from the original five to three. In the case of a number of tin-lead bumps, i.e., conventional flip-chip integrated circuits, it is advantageous to reconfigure the lines from the bga substrate 130 to form fewer input/output contacts. The concept of the input/output contact increase is shown in Fig. 7a for the case where the flip chip package is connected to the BGA substrate, in which the integrated circuit 100 including three tin bumps such as _(10) is taken as an example. The line structure of the BGA substrate including the line is as shown in the figure, wherein the line includes three line units (5), 153, and 155, such as power distribution, ground distribution, and 峨 distribution in the integrated circuit, respectively. The BGA substrate m(10) line 153 can connect the tin bump 1G3 to the three connection points (6), 163, and I65 under the BGA substrate 13G, such as a solder ball formed by ball bonding. After the other bumps 105 are reconfigured via the metal lines 151 and 155 in the BGA substrate 130, they can be respectively connected to other tin-lead solder balls 162 and 164 formed by ball bonding. In the method, the total number of input/output contacts for connecting the integrated circuit 1 through the BGA substrate 130 can be increased from three to five. The functions of the BGA substrate 130 described above, including the opening of the pad, the change of the pad position, the reduction of the interface configuration, and the addition of the interface configuration, can be achieved by the thick metal lines described above on the protective layer. From Figure 4b, Figure 5b, Figure, and Figure %, the thick metal line on the protective layer can realize the concept of fan separation, change the connection position 28 1308785, and increase the pad configuration, as described below. . 'The thick metal line formed on the agricultural layer can have the function of the fan-out pad. 4 The clear-exposed thick gold road has the function of the fan-out pad function. The metal interface 301_305 exposed by the mouth can pass through the above. Yes ^ 1 , fan out to connect external points 311-315, such as + mountain ° A, bumps or wire joints. The metal pads 301-305 exposed in the opening in the protective layer 4 may be a barrier _ 乂曰 a a, the opening is violent: the middle - column, the metal c is sequentially fanned out to the parallel arrangement of the external connection阳阳如,扇I===== In this embodiment, the balance of the Xie singer's squad can be greater than the adjacent gold relative to its electrical connection to the reward, such as 11, The thick gold secret road of Baoqi 4 can have the function of touching the position of the joint, as shown in the figure. Figure 5b shows that the thick metal _ has the position of the re-matching pad and the intention of the art, and the thick gold wire on the layer of the turbulent layer 4 can expose the gold pad 3〇13 of the opening of the protective layer 4 to The order and position are different from the metal connection 3 (4) 5, the external contact milk, the 3" connection external contact 321_325 such as tin bump, the gold bump 5_ may be larger than the phase 'the thick metal line formed on the protective layer 4 can The function with reduced pad configuration is shown in Figure 6b. Figure 6b shows that the thick metal line has the intention of reducing the connection. The metal pad 3 exposed by the opening in the protective layer 4 can be penetrated through the thick metal line on the protective layer 4 described above. Connected to an external contact 29 1308785 ^ to perform the same function, such as distributed power supply voltage, voltage or distribution signal, and metal pads 303, 3 〇 4 are connected to the external contact 332, 330 ' Among them, the external contacts 328, 330, 332 are, for example, tin-lead bumps, gold bumps or wire bonding pads. In this embodiment, the number of turns of the external contacts 328, 33A, 332 may be less than the total number of metal pads 3〇13= exposed by the openings in the protective layer 4. "The thick metal line formed on the vine layer 4 can have the function of increasing the pad configuration as shown in Fig. 7b. Fig. 7b shows a schematic diagram of the thick metal line having the function of adding a pad arrangement. The thick metal line can connect one of the metal pads 3〇3 exposed in the opening in the protective layer 4 to the plurality of external contacts 36, 363 and 365' to perform the same function, for example, as a distributed power source. For voltage, distributed ground voltage or distributed signal, metal pad 3 (n, 3〇5 are connected to external contacts 362, 364 respectively), such as external bumps 361_365 such as tin bumps, gold bumps or wire bonding In this embodiment, the total number of external contacts 361365 may be greater than the total number of metal pads 3〇1, 3& and 3〇5 exposed by the openings in the protective layer 4. In an embodiment, the inductor element may be formed on the protective layer by the above-described process of forming a thick metal layer on the protective layer, as shown in FIG. 8a, wherein the inductor element is composed of, for example, a horizontally wound coil. Layer 2 After the layer 18, a thick metal layer can be formed on the polymer layer 2, and the polymer layer 2 can be formed by referring to the material and formation of the polymer layer 2 as shown in FIG. The method is followed by forming a thick metal layer including the thick metal line 26 and the inductor element 34 on the polymer layer 20, and connecting the electrical pads 16 through the openings in the polymer layer 2 and the protective layer 18, thick For detailed structure and formation method of the metal layer, reference may be made to the detailed structure and formation method of the thick metal layer as shown in Figures 2a-2g and 2j-2m. In this embodiment, the inductance element 340 is in the form of a plane and is parallel to The surface of the substrate 1 , and the height of the metal/dielectric layer 14 , the protective layer 18 and the thick polymer layer 2 〇 30 1308785 Ι 0 , can make the inductance component 340 away from the bottom 10 , so The eddy current effect induced by the inductance element in the substrate 10 can be reduced, and the inductance element 340 is formed by a wide and thick metal line structure, so that the loss of the resistance energy can be reduced, so the quality parameter of the inductance element 340 can be improve. The turtle element 340 can be formed by means of electric ore, such as gold, silver or copper.

^低電阻金屬,電感元件340之金屬線路的厚度比如是大於i微 米’在較佳的情況下’比如是介於2微米至1〇微米之間,電感元 件340之相鄰金屬線路間之間距比如是可以小至4微米,一般而 «係 丨於0.5微米至50微米之間。此外’還可以形成另一聚合物 層在厚金屬線路26及電感元件340上。 "月參照圖8a’電感元件340之兩接點比如是均與保護層μ之 開口所暴露出之電性接墊16連接;然而本發明的應用並不限於 此,Ϊ可以是電感元件340之其中一接點係連接保護層18之開口 所暴路出之電性接墊16,而另一接點比如係透過錫錯凸塊、金凸 塊或以打線製程所形成的打線導線對外連接至一外界線路;或 者,電感元件340之兩接點可以均透過錫鉛凸塊、金凸塊或以打 線製程所形成的打線導線對外連接至一外界線路。^ Low-resistance metal, the thickness of the metal line of the inductive element 340 is, for example, greater than i micrometers 'in the preferred case', such as between 2 micrometers and 1 micrometer, and the distance between adjacent metal lines of the inductive component 340 For example, it can be as small as 4 microns, and generally it is between 0.5 microns and 50 microns. In addition, another polymer layer can be formed on the thick metal line 26 and the inductive element 340. Referring to FIG. 8a, the two contacts of the inductive component 340 are connected to the electrical pads 16 exposed by the openings of the protective layer μ; however, the application of the present invention is not limited thereto, and the germanium may be the inductive component 340. One of the contacts is connected to the electrical pad 16 from the opening of the protective layer 18, and the other contact is externally connected through a tin bump, a gold bump or a wire bonding wire formed by a wire bonding process. Alternatively, the two contacts of the inductive component 340 may be externally connected to an external circuit through a tin-lead bump, a gold bump, or a wire bonding wire formed by a wire bonding process.

請參照圖8a’電感元件340係形成在位於保護層18上之聚合 物層20上,然而本發明的應用並不限於此,亦可以省去聚合物層 20的配置,而是將電感元件34〇直接接觸地形成在保護層18上, 如圖8b所示,電感元件34〇的詳細結構及形成方法可以參考如圖 2a 2g及2j-2m所示之厚金屬層的詳細結構及形成方法。在形成電 感,件340之後,可以形成一聚合物層341在電感元件34〇上及 保護層18上’聚合物層341的材質及形成方法可以參考如圖比 所示之聚合物層20的材質及形成方法。 ,圖9a_9b繪示依照本發明一較佳實施例之變壓器(transformer) 形成在保護層上之剖面示意圖。變壓器包括底層線圈360及上層 31 1308785 ~^Γ~ΤΓ21)- 年月日修正替換頁 線圈366,其中底層線圈360及上層線圈366的製作方^- 利用如® 或圖习-沈所示之金屬線路製程’或是均利用如圖 2g或圖21-2m所示之金屬線路製程;或是,底層線圈36〇的製作 方法比如是利用如圖2a_2f或圖2j-2k所示之金屬線路製程,而上 層線圈366的製作方法比如是利用如圖2g或圖21_2m所示之金屬 線路製程;或是,底層線圈360的製作方法比如是利用如圖2g或 圖21-2m所示之金屬線路製程,而上層線圈366的製作方法比如 是利用如圖2a-2f或圖2j-2k所示之金屬線路製程。用於與底層線 ,360連接之兩接點比如是電性連接至在保護層18内之開口所暴 _露出的金屬接塾16,而用於與上層線圈366連接之兩接點上比如 形成錫鉛凸塊或金凸塊,可以與比如是印刷電路板的外界電路連 接’或者以打線製程所形成之金導線可以接合在此接點上。 在本實施例中,底層線圈360比如是形成在位於保護層18上 之聚合物層20上’如圖9a及9c所示,聚合物層2〇之材質及形 成方法可以參考如圖比所示之聚合物層20的材質及形成方法。 或者,亦可以省去聚合物層2〇的配置,將底層線圈36〇直接接觸 地形成在保護層18上,如圖%及9d所示。 在形成底層線圈360在保護層18上或聚合物層20上之後, ’可以形成聚合物層50在底層線圈36〇上,如圖9a-9d所示。若是 應用在線路不需高精度的製程上,聚合物層50之材質及形成方法 可以參考如圖lb所示之聚合物層20的材質及形成方法,此時可 以省去研磨製程’但是聚合物層5〇係具有較不平坦的上表面,因 為之後所形成之上層線圈366係形成在聚合物層5〇之不平坦的上 ,面上’故上層線圈366無法達到甚高的精度,如圖9a及9b所 不。若是應用在線路需高精度的製程上,聚合物層50之材質及形 成方法可以參考如圖2i所示之聚合物層222的材質及形成方法, 此時利用機械研磨或化學機械研磨製程可以平坦化聚合物層5〇之 32 1308785 上表面’因為之後所形成之上層線圈366可以形成在 之平坦的上表面上,故上層線圈366可以達到較高的精度,如圖 9c及9d所示。 在形成底層線圈360在保護層18上或聚合物層20上之後, 可以形成聚合物層70在上層線圈366上,如圖9a-9d所示,位在 聚合物層70内之開口 77可以暴露出用於連接上層線圈366的兩 接點,藉由形成錫錯凸塊或金凸塊在此接點上,可以使上層線圈 366與比如是印刷電路板的外界電路連接,或者以打線製程^形成 之金導線亦可以接合在此接點上。聚合物層7〇之材質及形成方法 >可以參考如圖lb所示之聚合物層2〇的材質及形成方法此時可 以省去研磨製程’但是聚合物層70係具有較不平坦的上表面如 圖9a及%所示。聚合物層%之材質及形成方法亦可以參考如圖 層222的材質及形成方法,此時利用機械研磨或 化子機械研磨製程可以平坦化聚合物層5〇之上表面,如圖9 9d所示。 圖10a至圖i〇c繪示依照本發明之電容 面二意圖,其中電容元件係形成在保護層Referring to FIG. 8a, the inductive component 340 is formed on the polymer layer 20 on the protective layer 18. However, the application of the present invention is not limited thereto, and the configuration of the polymer layer 20 may be omitted, but the inductive component 34 may be omitted. The germanium is directly contacted on the protective layer 18. As shown in FIG. 8b, the detailed structure and formation method of the inductor element 34 can refer to the detailed structure and formation method of the thick metal layer shown in FIGS. 2a and 2j-2m. After the inductor 340 is formed, a polymer layer 341 can be formed on the inductor element 34 and the protective layer 18. The material and formation method of the polymer layer 341 can be referred to the material of the polymer layer 20 as shown in the figure. And the formation method. 9a-9b are schematic cross-sectional views showing a transformer formed on a protective layer in accordance with a preferred embodiment of the present invention. The transformer includes a bottom layer coil 360 and an upper layer 31 1308785 ~ ^ Γ ~ ΤΓ 21) - aging date correction replacement page coil 366, wherein the bottom layer coil 360 and the upper layer coil 366 are fabricated by using a metal such as ® or Tu Xi - Shen The line process 'either uses the metal line process shown in FIG. 2g or FIG. 21-2m; or the bottom layer 36 turns is made by using a metal line process as shown in FIG. 2a_2f or FIG. 2j-2k, The upper layer coil 366 is fabricated by using a metal line process as shown in FIG. 2g or FIG. 21_2m. Alternatively, the bottom layer coil 360 can be fabricated by using a metal line process as shown in FIG. 2g or FIG. 21-2m. The upper layer coil 366 is fabricated by, for example, a metal wiring process as shown in FIGS. 2a-2f or 2j-2k. The two contacts for connecting with the bottom layer, 360 are, for example, metal contacts 16 that are electrically connected to the openings in the protective layer 18, and are formed on the two contacts for connecting with the upper coils 366. A tin-lead bump or a gold bump can be connected to an external circuit such as a printed circuit board or a gold wire formed by a wire bonding process can be bonded to the contact. In this embodiment, the bottom layer coil 360 is formed on the polymer layer 20 on the protective layer 18, for example, as shown in FIGS. 9a and 9c, and the material and formation method of the polymer layer 2〇 can be referred to as shown in FIG. The material and formation method of the polymer layer 20. Alternatively, the configuration of the polymer layer 2A may be omitted, and the underlying coil 36 is formed in direct contact with the protective layer 18, as shown in Figs. After forming the bottom layer coil 360 on the protective layer 18 or on the polymer layer 20, the polymer layer 50 can be formed on the bottom layer coil 36, as shown in Figures 9a-9d. If the application is applied to a process that does not require high precision, the material and formation method of the polymer layer 50 can refer to the material and formation method of the polymer layer 20 as shown in FIG. 1b, and the polishing process can be omitted. The layer 5 has a relatively flat upper surface because the upper layer coil 366 formed later is formed on the unevenness of the polymer layer 5, so that the upper layer coil 366 cannot achieve very high precision, as shown in the figure. 9a and 9b do not. If it is applied to a process requiring high precision on the circuit, the material and formation method of the polymer layer 50 can refer to the material and formation method of the polymer layer 222 as shown in FIG. 2i, and the process can be flat by mechanical grinding or chemical mechanical polishing. The polymer layer 5 〇 32 1308785 upper surface 'because the upper layer coil 366 formed later can be formed on the flat upper surface, the upper layer coil 366 can achieve higher precision, as shown in Figures 9c and 9d. After forming the bottom layer coil 360 on the protective layer 18 or on the polymer layer 20, a polymer layer 70 can be formed on the upper layer coil 366, as shown in Figures 9a-9d, the opening 77 in the polymer layer 70 can be exposed. By connecting the two contacts of the upper layer coil 366, by forming tin bumps or gold bumps on the contacts, the upper layer coil 366 can be connected to an external circuit such as a printed circuit board, or by a wire bonding process ^ The formed gold wire can also be bonded to this joint. Material and Forming Method of Polymer Layer 7 可以 Refer to the material and forming method of the polymer layer 2 如图 as shown in FIG. 1b, the polishing process can be omitted at this time, but the polymer layer 70 has a relatively uneven upper layer. The surface is shown in Figures 9a and %. The material of the polymer layer % and the forming method can also refer to the material and the forming method of the layer 222. At this time, the upper surface of the polymer layer 5 can be planarized by a mechanical grinding or a chemical mechanical polishing process, as shown in FIG. 9d. . 10a to FIG. 2C illustrate the capacitor surface 2 in accordance with the present invention, wherein the capacitor element is formed in a protective layer.

i極具有—下電極342、一電容介電層346及一上 3 及下編42的崎法比如是均_ 或圖212 If: "Ls斤不之金屬線路製程,或是均利用如圖% 或電極-㈣作方法 別5的製作方法比如是利線路»程’而均亟 程;或是,下雷搞w/或圖m所示之金屬線路製 所示之金聽路製程3 狀糾® % _ 化學氣相沈織理氣相電^比如是由 懷岍心成電谷介電層346之材質比 33 1308785 r^rrtr^O— I年月曰修正替換胃 如是二氧化鈦(Ti02)、五氧化二鈕(Ta205)、高分子聚善茶了瓦^~~I 合物(Si#4)或氧矽化合物(Si02)、四乙烷基氧矽甲烷(TEOS)、鈦酸 鳃(SrTi〇3)等,且電容介電層346的厚度比如是介於500埃到50000 埃之間。在本實施例中,下電極342比如是形成在位於保護層18 上之聚合物層20上’如圖l〇b及圖l〇c所示,其中聚合物層2〇 之材質及形成方法可以參考如圖lb所示之聚合物層20的材質及 形成方法;或者,亦可以省去聚合物層20的配置,將下電極342 直接接觸地形成在保護層18上,如圖l〇a所示;在本實施例中, 還可以選擇性地形成一聚合物層在電容元件之上電極345上,用 鲁以保護電容元件,此聚合物層之材質及形成方法可以參考如圖lb 所示之聚合物層20的材質及形成方法。The i-pole has a lower electrode 342, a capacitor dielectric layer 346, and a stripe method of the upper 3 and the lower block 42 such as a metal circuit process of the Fig. 212 or: If the Ls is not used, or both are used as shown in the figure. % or electrode-(4) The method of making the method 5 is, for example, the profit line » process and the process; or, the mine is made of w/ or the metal circuit shown in Figure m shows the gold-touch process.纠 % _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ Niobium pentoxide (Ta205), high-polymerized poly-small tea, γ~~I compound (Si#4) or oxonium compound (Si02), tetraethyl oxymethane (TEOS), barium titanate (SrTi) 〇 3) and the like, and the thickness of the capacitor dielectric layer 346 is, for example, between 500 angstroms and 50,000 angstroms. In this embodiment, the lower electrode 342 is formed on the polymer layer 20 on the protective layer 18, as shown in FIG. 1B and FIG. 3c, wherein the material and formation method of the polymer layer 2 can be Referring to the material and formation method of the polymer layer 20 as shown in FIG. 1b; or, the configuration of the polymer layer 20 may be omitted, and the lower electrode 342 is directly contacted on the protective layer 18, as shown in FIG. In this embodiment, a polymer layer may be selectively formed on the electrode 345 above the capacitor element, and the capacitor element is protected by a resistor. The material and formation method of the polymer layer can be referred to as shown in FIG. The material and formation method of the polymer layer 20.

請參照圖10a至圖l〇c,電容元件之下電極342及上電極345 比如均是連接至保護層18内之開口所暴露出之電性接墊16;然而 本發明的應用並不限於此,比如電容元件之下電極342係連接至 保護層18内之開口所暴露出之電性接墊16,而連接電容元件之上 ^ 345比如係透過錫錯凸塊、金凸塊或以打線製程所形成的打線 導線對外連接至-外界線路’而不向下連接至保護層18内之開口 =暴露出的電性接塾16 ;或者’電容元件之上電極撕比如係連 接至保護層18内之開口所暴露出之電性接墊16,而電容元件之下 獨触塊、金凸塊或叫_餅形成的打 下 所暴路出的電性接塾16。 且遠1Ge,由於電容元件係形成在保護層18上, 且遂離+導縣底丨’故可喊少電容元件與轉縣底丨之間的 34 1308785 卜換頁 專决+6 ^ . 萆b’月1日#」 包谷。再者’利用上述製程可以形成厚度厚且面 元件的一電極342及345,故可以減少電容元件之二電極342及 345的電阻值’特別是可以應用在無線的領域中。Referring to FIGS. 10a to 10C, the lower electrode 342 and the upper electrode 345 of the capacitor element are, for example, electrically connected to the electrical pads 16 exposed by the openings in the protective layer 18; however, the application of the present invention is not limited thereto. For example, the lower electrode 342 of the capacitive element is connected to the electrical pad 16 exposed by the opening in the protective layer 18, and the upper surface of the connecting capacitive element is, for example, transmitted through a tin bump, a gold bump or a wire bonding process. The formed wire bonding wire is externally connected to the external circuit' without being connected downward to the opening in the protective layer 18 = the exposed electrical interface 16; or the electrode on the capacitor element is torn to the protective layer 18 The electrical contact pad 16 is exposed by the opening, and the electrical contact 16 formed by the contact block, the gold bump or the cake is formed under the capacitive element. And far away from 1Ge, since the capacitive element is formed on the protective layer 18, and it is separated from the bottom of the county, it can be called 34 1308785 between the capacitive element and the turn of the county. The page change exclusive +6 ^ . '月1日#' Baogu. Further, by using the above process, an electrode 342 and 345 having a thick thickness and a surface element can be formed, so that the resistance values of the two electrodes 342 and 345 of the capacitor element can be reduced, which can be applied particularly in the field of wireless.

明參照圖10b及圖l〇c’聚合物層2〇可以形成在保護層18上, 並且透過圖案化製程’可以使厚聚合物層2Q内之開口暴露出電性 接墊16。在一實施例中,聚合物層2〇之開口的最大橫向尺寸係小 於保濩層18之開口的最大橫向尺寸,且聚合物層2〇係覆蓋電性 接墊16暴露在保護層18内之開口外之區域的其中一部分如圖 10b所示。然而,在另一實施例中,聚合物層%之開口的最大橫 向尺寸係大於保護層18之開口的最大橫向尺寸,且聚合物層 内,開口係暴露出電性接墊16暴露在保護層18内之開口外之所 有區域。藉由聚合物層2〇的配置,可以使電容元件之配置向上移 ,約等於聚合物層20之厚度的距離,如此電容元件可以配置在更 遠離半導體基底1的地方,故可以大幅降低電容元件之下電4 與半導體基底1之間的寄生電容。 圖11a至圖11c繪示電阻元件形成在半導體晶圓上的剖面示意 圖,電阻元件448係形成在保護層18上或聚合物層2〇上。電阻 元件448係由能夠提供電性阻值之材質所構成,且電流能夠流經 該材質。利用物理氣相沈積或化學氣相沈積的方式可以形成電阻 元件448 ’且電阻元件448之材質比如是鈕氮化合物(TaN)、鎳鉻 合金(NiCr)、錄錫合金(NiSn)、嫣(W)、鈦鶴合金(Tiw)、鈦氮化合 物(TiN)、鉻(Cr)、鈦(Ti)、鎳(Ni)或组石夕化合物(丁说)等。在上述的 這些材質中,鎳鉻合金能夠提供最佳的電阻溫度係數(TemperatureThe polymer layer 2 can be formed on the protective layer 18 with reference to Fig. 10b and Fig. 10', and the opening in the thick polymer layer 2Q can expose the electrical pads 16 through the patterning process. In one embodiment, the maximum lateral dimension of the opening of the polymer layer 2 is less than the largest lateral dimension of the opening of the security layer 18, and the polymer layer 2 is covered by the electrical pad 16 and exposed within the protective layer 18. A portion of the area outside the opening is shown in Figure 10b. However, in another embodiment, the maximum lateral dimension of the opening of the polymer layer is greater than the maximum lateral dimension of the opening of the protective layer 18, and within the polymer layer, the opening exposes the electrical pad 16 from being exposed to the protective layer. All areas outside the opening in 18. By the arrangement of the polymer layer 2〇, the arrangement of the capacitor elements can be shifted upwards by a distance equal to the thickness of the polymer layer 20, so that the capacitor element can be disposed farther away from the semiconductor substrate 1, so that the capacitor element can be greatly reduced. The parasitic capacitance between the electric 4 and the semiconductor substrate 1 is lowered. 11a-11c illustrate cross-sectional schematic views of a resistive element formed on a semiconductor wafer, the resistive element 448 being formed on the protective layer 18 or on the polymer layer 2''. The resistor element 448 is made of a material that can provide an electrical resistance, and current can flow through the material. The resistive element 448' can be formed by physical vapor deposition or chemical vapor deposition, and the material of the resistive element 448 is, for example, a nitrogen compound (TaN), a nickel-chromium alloy (NiCr), a nickel-plated alloy (NiSn), and a tantalum (W). ), Titanium alloy (Tiw), titanium nitride compound (TiN), chromium (Cr), titanium (Ti), nickel (Ni) or group Shishi compound (Ding said) and the like. Among these materials, Nichrome provides the best temperature coefficient of resistance (Temperature)

Coefficient of Resistance),可以小至5 ppm/°C。電阻元件之長度、 厚度及寬度可以依照不同的應用而設計。 請參照lib及11c ’在形成聚合物層20於保護層18上之後, 電阻元件448可以形成在聚合物層20上,電阻元件S448可以透過 35 1308785 ι驴·月1日替換頁 位在聚合物層20内之開口連接位在保護層18内之開-- 的電性接墊16 ’其中聚合物層20之材質及形成方法可以參考如圖 lb所示之聚合物層20的材質及形成方法。藉由聚合物層2〇的配 置可以增加電阻元件448與半導體基底丨之間的距離(所增加的距 離係大致上等於聚合物層20的厚度)’可以降低電阻元件448與基 底1、之間的寄生電容效應,如此可以改善電阻元件的性能(由於可 以減少寄生電容的損耗,故可以提升在高頻運作下的電性效能)。 然而,亦可以省去聚合物層2〇的配置,電阻元件448係直接接觸 地形成在保護層18上,如圖lla所示。此外,一聚合物層可以選 馨擇性地形成在電阻元件448上,用以保護電阻元件448。 請參照lla-llc,電阻元件448之兩接點,比如是均與位在保 護層18内之開口所暴露出之電性接墊16連接;然而本發明的應 用,不限於此,亦可以是電阻元件448之其中一接點係連接位在 保護層18之開口内所暴露出之電性接墊16,而另一接點比如係透 過錫鉛凸塊、金凸塊或以打線製程所形成的打線導線對外連接至 一外界線路,而不連接至位在保護層18之開口内所暴露出之電性 接墊16 ;或者,電阻元件448之兩接點可以均透過錫鉛凸塊、金 凸塊或以打線製程所形成的打線導線對外連接至一外界線路,而 ’不連^至位在保護層18之開口内所暴露出之電性接塾16。 ,睛參照圖12a及圖12b’其繪示依照本發明之一實施例中將已 製作完成,被動元件接合在半導體晶圓上的示賴。在本實施例 中’可以藉由形成銲料452在電性接墊16上,使電性接墊16可 =透過鮮料松與已製作完成的被動元件454連接,其中已製作 完成的被動元件454比如是與已製作完成之電感元件、電容元件、 電阻元件或疋其他的被動元件。而一金屬層45〇可以开)成在保護 層18内之開口所暴露出的電性接似6上,利用傳統的電錢製程、 植球製程或網板印刷製程,可以形成銲料452於金屬層上。 36 1308785Coefficient of Resistance) can be as small as 5 ppm/°C. The length, thickness and width of the resistive element can be designed for different applications. Referring to lib and 11c', after forming the polymer layer 20 on the protective layer 18, the resistive element 448 can be formed on the polymer layer 20, and the resistive element S448 can be replaced by the 35 1308785 ι驴·1st page in the polymer. The opening in the layer 20 is connected to the electrical pad 16 ' in the protective layer 18. The material and the forming method of the polymer layer 20 can refer to the material and forming method of the polymer layer 20 as shown in FIG. . The distance between the resistive element 448 and the semiconductor substrate ( can be increased by the configuration of the polymer layer 2 ( (the increased distance is substantially equal to the thickness of the polymer layer 20) can reduce the resistance between the resistive element 448 and the substrate 1. The parasitic capacitance effect can improve the performance of the resistive element (since the loss of parasitic capacitance can be reduced, so the electrical performance under high frequency operation can be improved). However, it is also possible to dispense with the configuration of the polymer layer 2, and the resistive element 448 is formed in direct contact with the protective layer 18 as shown in Fig. 11a. Additionally, a polymer layer can be selectively formed on the resistive element 448 to protect the resistive element 448. Referring to lla-llc, the two contacts of the resistive element 448 are connected to the electrical pads 16 exposed by the openings in the protective layer 18; however, the application of the present invention is not limited thereto, and may be One of the contacts of the resistive element 448 is connected to the electrical pad 16 exposed in the opening of the protective layer 18, and the other contact is formed by a tin-lead bump, a gold bump or a wire bonding process. The wire bonding wire is externally connected to an external circuit, and is not connected to the electrical pad 16 exposed in the opening of the protective layer 18; or the two contacts of the resistive component 448 can pass through the tin-lead bump, gold The bump or wire bonding wire formed by the wire bonding process is externally connected to an external circuit, and the electrical interface 16 exposed in the opening of the protective layer 18 is not connected. Referring to Figures 12a and 12b', there is shown a fabrication of a passive component bonded to a semiconductor wafer in accordance with an embodiment of the present invention. In the present embodiment, the solder pad 452 can be formed on the electrical pad 16 so that the electrical pad 16 can be connected to the completed passive component 454 through the fresh material loose, wherein the completed passive component 454 is completed. For example, it is a completed passive component, a capacitive component, a resistive component or other passive component. A metal layer 45 can be opened to form an electrical connection 6 exposed in the opening in the protective layer 18. The solder can be formed into the metal by a conventional electromoney process, a ball-making process or a screen printing process. On the floor. 36 1308785

月 曰修正替換頁 在接合已製作完成的被動元件454到銲料452上時,可 銲劑到銲料452上,並配合迴焊(refl〇w)的製程可以使已製作完成 的被動元件454接合銲料452。其中,已製作完成的被動元件454 亦可以具有銲料453,如此可以提升已製作完成的被動元件454 與下方半導體晶圓之間的接合性。The stencil correction replacement page can be soldered onto the solder 452 when the completed passive component 454 is bonded to the solder 452, and the reflow process can be used to bond the fabricated passive component 454 to the solder 452. . The fabricated passive component 454 can also have solder 453, which can improve the bond between the fabricated passive component 454 and the underlying semiconductor wafer.

請參照圖12a及圖12b,當銲料452是利用電鍍製程完成時, 可以先利用濺鍍的方式形成比如是鈦或鉻的黏著/阻障層在半導體 晶圓上,之後再利用濺鍍的方式形成比如是銅的種子層在黏著/阻 障層上,之後在形成一光阻層在種子層上,其中位在光阻層内的 一開口可以暴露出種子層,接著可以利用電鍍的方式形成一銅層 在光阻層内之開口所暴露出的種子層上,之後可以再利用電鍍二 方式形成一鎳層在銅層上,接著可以利用電鍍的方式形成一銲料 層452在鎳層上’其中銲料層452的厚度比如是大約在5微米到 500微米之間,且銲料層452的材質比如是錫鉛合金或錫銀合金 等。之後,可以將光阻層去除,接著可以去除未在圖案化銲料層 452下的種子層及黏著/阻障層。在本實施例中,金屬層450是由 黏著/阻障層、種子層及以電鍍方式所形成的銅層及鎳層所構成, 且金屬層450的厚度比如是介於ο ι微米到2〇微米之間。 請參照圖12a及圖12b’當銲料452是利用網板印刷製程或植 球製程完成時,可以先利用濺鍍的方式形成比如是鈦或鉻的黏著/ 阻障層在半導體晶圓上,之後再利用濺鍍的方式形成比如是銅的 種子層在黏著/阻障層上,之後在形成一光阻層在種子層上,其中 位在光阻層内的一開口可以暴露出種子層,接著可以利用電鍍的 方式形成一銅層在光阻層内之開口所暴露出的種子層上,之後可 以再利用電鍍的方式形成一鎳層在銅層上,接著可以利用電鏟的 方式形成一金層在鎳層上,之後,可以將光阻層去除,接著可以 去除未在圖案化銅層、鎳層及金層下的種子層及黏著/阻障層。在 37 1308785Referring to FIG. 12a and FIG. 12b, when the solder 452 is completed by an electroplating process, an adhesion/barrier layer such as titanium or chromium may be formed on the semiconductor wafer by sputtering, and then the sputtering method may be used. Forming a seed layer such as copper on the adhesion/barrier layer, and then forming a photoresist layer on the seed layer, wherein an opening in the photoresist layer may expose the seed layer, and then may be formed by electroplating A copper layer is formed on the seed layer exposed by the opening in the photoresist layer, and then a nickel layer is formed on the copper layer by electroplating, and then a solder layer 452 can be formed on the nickel layer by electroplating. The thickness of the solder layer 452 is, for example, between about 5 micrometers and 500 micrometers, and the material of the solder layer 452 is, for example, a tin-lead alloy or a tin-silver alloy. Thereafter, the photoresist layer can be removed, and then the seed layer and the adhesion/barrier layer not under the patterned solder layer 452 can be removed. In this embodiment, the metal layer 450 is composed of an adhesion/barrier layer, a seed layer, and a copper layer and a nickel layer formed by electroplating, and the thickness of the metal layer 450 is, for example, οι to 2〇. Between microns. Referring to FIG. 12a and FIG. 12b, when the solder 452 is completed by a screen printing process or a ball-planting process, an adhesion/barrier layer such as titanium or chromium may be formed on the semiconductor wafer by sputtering. Then, a seed layer such as copper is formed on the adhesion/barrier layer by sputtering, and then a photoresist layer is formed on the seed layer, wherein an opening in the photoresist layer exposes the seed layer, and then A copper layer may be formed by electroplating on the seed layer exposed by the opening in the photoresist layer, and then a nickel layer may be formed on the copper layer by electroplating, and then a gold may be formed by using a shovel. The layer is on the nickel layer, after which the photoresist layer can be removed, and then the seed layer and the adhesion/barrier layer not under the patterned copper layer, the nickel layer and the gold layer can be removed. At 37 1308785

象5.月1 Έΐΐί替換頁I 本實施例中’金屬層450是由黏著/阻障層、種子層; 所形成的銅層、鎳層及金層所構成,且金屬層45〇的厚度比如是 介於0.1微米到20微米之間。之後,可以利用網板印刷製程或植 球製程形成一銲料層452在金屬層450之金層上,其中銲料層452 的厚度比如是大約在5微米到3〇〇微米之間,且銲料層452的材 質比如是錫鉛合金或錫銀合金等。在本實施例中,用於連接銲料 層452之金屬層㈣的金層係不宜太厚,比如是介於〇 〇5微米到 1微米之間’如此可以避免金屬層45〇之金層擴散過多的金至銲料 層452中,如此可以避免錫金合金所產生的脆性問題。 * 接下來,將詳述利用位在保護層上之厚金屬層所做的線路設 計。請參照圖13a_13c,其綠示用於分布電源電壓或接地電壓的線 路結構。半導體線路12係形成於半導體基底丨的表層,這些半導 巧件12可以為NM〇s元件、PM〇s元件及CM〇s元件。每一 半導體元件12具有數個節點,可以連接至其他線路或用以連接電 源電壓(Vdd)或接地電壓(Vss)之電源/接地線路,典型的半導體元件 12包含電源節點、接地節點及訊號節點。靜電放電保護線路 係形成在半導體基底i的表層,用於保護半導體元件12受到突然 參間的靜電放電破壞。半導體元件12及靜電放電保護線路均形 成在元件層2内。1C線路層3係形成於元件層2上,ic線路層3 ^之内,線561係與半導體元件12及靜電放電保護線路544連 接1保護層4係沉積IC線路層3上,位在保護層4内之開口可以 ,露出1C線路層3之電性接墊,本實施例中保護層4下之半導體 曰曰片結構可以參考圖la中保護層4下之半導體晶片結構。具有前 V厚金f線路層及厚聚合物層之結構的後護層8〇係位在保護層4 後濩層80之詳細結構及製作方法可以參考圖比及圖3a 3d, ^遵層80内之厚且寬的連線網路566比如是由一層或多層之厚金 層所構成,厚且寬的連線網路566比如係直接接觸地形成在保 38 1308785 齡嫩,厚且寬的 保護線路544可以透過厚且寬線的路遠層^内的内連線561,靜電放電 多個半導體線路12之電的= 網路566以並聯的方式連接 是電源匯流排或電源平面^1,其中厚且寬的連線網路566比如 過厚且寬的連’或者’靜電放電保護線路544可以透 u之接地 =ΠΓ以並聯的方式電性連接多個半導體線路 接ίίΓ 厚且寬的連線網路566比如是接地匯流排或 的連及圖13卜锡錯凸塊或金凸塊可以形成在厚且寬 L個或多個接點568上,使得厚且寬的連線網 接地端連接至比如是物電路板的外界電路之電源端或 ^也端。或者’以打線製程所形成的導線可以接合在 ==一個或多健點568上’使得厚且寬的連線網路= 端。厚比如料㈣路板料界電紅電源端或接地 ^ j且寬的連線網路566透過分布在多處的接點連接至外界線 ϊΐϊίίΐ接地端,可以使厚且寬的連線網路566更穩定地分 接地賴。在本發日种,可以不需針對每—個連接 «電源供應之接塾568或連接外部接地源之接塾娜分別連接 至不同的靜電放電保護線路544,亦即一個靜電放電保護線路撕 可以電性連接至多個連接外部電源供應之接墊观或電性連接至 多個連接外部接地源之接整568,多個連接外部電源供應之接塾 568或多個連接外部接地源之接墊娜可以共同分享一個靜電放 電保護線路544,故可以減少爲了提供靜電放電保護線路54 力所造成的電力損失。 睛參照圖13c,位在保護層4内之開口所暴露出的電性接墊 16可以透過保護層4下之一薄膜線路98電性連接至厚且寬的連線 網路566,錫鉛凸塊或金凸塊可以形成此電性接墊16上以打線 39 1308785 f程所形成的導線亦可續合麵雜難16上。 、猶f6並非直接與外界電路電性連接,而是透過位在保護Ϊ1 ^薄膜線路98才與外界電路電性連接,其中此薄膜線路%之 j長度比如錯於5G微紅1_ «之間。值瓶意的是, 作完寬且厚的内連線網路S66之後,電性接墊16仍然暴露於 ▲明參照圖13b’1C、線路層3還包括多個内連線網路567,位 =屢層4下,多個半導體元件n可以透過内連線網路567相互 f ’多個内連線網路567可以透過位在保護層4上 ,網路566相互連接。部份之半導體元件12可以不經由位在= ^ 4下之内連線網路567連接至位在保護層4上之厚且寬的連線 肩路566。然而’本發明的應用並不限於此,亦可以是全部之半導 =件12不經由位在保護層4下之内連線網路連接至位在保護層 ^厚且寬的連線網路566,如圖i3a及圖i3c所示。 清參照®I 13a至圖13c’由於配置於保護層4上之厚且寬的 |網路566可以取代習知技術中位於保護層4下之薄且細的内連 域網路’比如疋作為電源或接地匯流排之金屬連線,因此部份之 ^細的内連線可以移除’故可崎低寄生電容對半導體元件的 衫曰’且形成於保護層4上之厚且寬的連線網路娜係較 受外界電壓變化的衝擊。 β明參照® 13a至圖13c,在本發明中,透過位在保護層4上之 厚且寬的連線網路566可以並聯連接靜電放電保護線路544及多 ,半體兀件I、2,由於位在保護層4上的連線網路施係為厚且 的故了以減發生非預期電源渴浪(p〇wer职)的情开)。 在-實施财’當本㈣之轉體晶片連接至另—半導體晶 連接至半導體元件12的電源或接地節點之外部電源供應接 墊或外部接地接塾可以不與位在該半導體晶片内或位在該另一半 1308785 導體晶片之靜電放電保護線路電性連接,1 =係ϊπγ直接連接至另-半導體晶片 電性連接。 、们心規之靜電放電保護線路 明參知圖14a-14h ’位在保護声4上之厘η办从虫 比如是用於傳送時脈訊號、位址訊號、資^訊且;;的網路娜 比訊號等,典型的半導體元件 …號或類 ,:此時厚且寬的連線網路566係 ” ’或者’厚且寬的連線網路566亦可以傳送從電翻= 哭 輸出的電源/接地電壓。枓體線路12係形Ϊ; ri層,這些轉體元件12可以為_S元件: 路、接收路靜電放電保護線路544及驅動器線 成在元制H H 45及其他的半導體元们2均形 曰内,靜電放電保護線路544係用於伴鳟本 12受到突_靜蝴雜!G賴3 上 忙線路層3内之内連線561係與半導體元件^成於電 路544及驅動考綠敗、拉 靜逼放電保5篗線 護戶4係沉藉#接收器線路、輸入/輸出線路545連接。保 ==3= 3 1 4㈣口可以暴露出 構可以參相i 實施例中保護層4下之半導體晶片結 屬線路層及厚聚人物#°曰姓:之半導體晶片結構。具有前述厚金 構及製作方法,考圖1b及圖迎所示的結 層或多声之厘在居ί層内厚且見的連線網路566比如是由一 接觸地;成在保成,厚且寬的連線網路566比如係直接 #上,μ、: θ 4上,或形成在位於保護層4上之厚聚合物 曰子見的連線網路娜係連接位在IC線路層3内的内連線 1308785 路m電保遵線路544係以並聯的方式電性連接驅動器線 路、^器線路或輸入/輸出線路545。 啄 聚人ίίζ i4ti4d 8q 57q可以暴露於—厚 葬“ 外’錫錯凸塊或金凸塊可以形成在此接墊570上, 开:成的#τ叫性連接至外界電路;或是由打線製程所 可以與此接墊_接合,藉以使半導體晶片可以 接.57Ϊ2Ϊ電路。靜電放電保護線路544係電性連接與對外 Ϊ路’如此可以避免#非細絲(SU1*ge)發生時對Like 5. Month 1 替换ί Replacement Page I In this embodiment, the 'metal layer 450 is composed of an adhesion/barrier layer, a seed layer; a copper layer, a nickel layer and a gold layer formed, and the thickness of the metal layer 45〇 is as It is between 0.1 microns and 20 microns. Thereafter, a solder layer 452 can be formed on the gold layer of the metal layer 450 by a screen printing process or a ball bonding process, wherein the thickness of the solder layer 452 is, for example, between about 5 micrometers and 3 micrometers, and the solder layer 452 The material is, for example, tin-lead alloy or tin-silver alloy. In this embodiment, the gold layer used to connect the metal layer (4) of the solder layer 452 should not be too thick, for example, between 微米5 μm and 1 μm. Thus, the metal layer 45 can be prevented from being excessively diffused. In the gold to solder layer 452, the brittleness problem caused by the tin-gold alloy can be avoided. * Next, the circuit design made using a thick metal layer on the protective layer will be detailed. Referring to Figures 13a-13c, the green shows the line structure for distributing the supply voltage or ground voltage. The semiconductor wiring 12 is formed on the surface layer of the semiconductor substrate, and these semiconductors 12 may be NM 〇 s elements, PM 〇 s elements, and CM 〇 s elements. Each semiconductor component 12 has a plurality of nodes that can be connected to other lines or a power/ground line for connecting a power supply voltage (Vdd) or a ground voltage (Vss). A typical semiconductor component 12 includes a power supply node, a ground node, and a signal node. . An electrostatic discharge protection circuit is formed on the surface layer of the semiconductor substrate i for protecting the semiconductor element 12 from electrostatic discharge damage of a sudden inter-parameter. Both the semiconductor element 12 and the electrostatic discharge protection line are formed in the element layer 2. The 1C circuit layer 3 is formed on the element layer 2, within the ic circuit layer 3^, and the line 561 is connected to the semiconductor element 12 and the electrostatic discharge protection line 544. The protective layer 4 is deposited on the IC circuit layer 3, and is disposed on the protective layer. The opening in 4 can expose the electrical pads of the 1C circuit layer 3. In the embodiment, the semiconductor wafer structure under the protective layer 4 can refer to the semiconductor wafer structure under the protective layer 4 in FIG. The detailed structure and manufacturing method of the back cover layer 80 having the structure of the front V thick gold f line layer and the thick polymer layer in the back layer 80 of the protective layer 4 can be referred to the drawings and FIG. 3a 3d, The thick and wide connection network 566 is formed, for example, by one or more layers of thick gold. The thick and wide connection network 566 is formed in direct contact with the contact, which is 38,308,785 years old, thick and wide. The protection line 544 can pass through the interconnect 561 in the thick and wide line of the remote layer, and the electrical discharge of the plurality of semiconductor lines 12 = the network 566 is connected in parallel to be the power bus or power plane ^1, The thick and wide connection network 566 such as a thick and wide connection or 'electrostatic discharge protection line 544 can be grounded through the ground = ΠΓ electrically connected to a plurality of semiconductor lines in parallel to connect a thick and wide connection The wire network 566, such as a ground bus or a connection, and the bumps or gold bumps of FIG. 13 may be formed on a thick and wide L or a plurality of contacts 568 such that a thick and wide wire ground is provided. Connected to the power supply terminal or the external terminal of an external circuit such as a physical circuit board. Alternatively, the wires formed by the wire bonding process can be bonded to == one or more health points 568 to make a thick and wide wired network = end. Thick and wide (4) road board material red power supply end or grounding ^ j wide connection network 566 connected to the external line through a number of contacts distributed to the external line, can make a thick and wide connection network 566 is more stable and grounded. On the date of this issue, it is not necessary to connect to each of the connection 568 of the power supply or the connection of the external ground source to the different electrostatic discharge protection circuit 544, that is, an electrostatic discharge protection circuit can be torn. Electrically connected to a plurality of connections to the external power supply or electrically connected to a plurality of connected external grounding sources 568, a plurality of connections 568 connected to the external power supply or a plurality of external grounding sources connected to the ground Sharing an ESD protection line 544 together can reduce the power loss caused by the force of the ESD protection line 54. Referring to FIG. 13c, the electrical pads 16 exposed by the openings in the protective layer 4 can be electrically connected to the thick and wide wiring network 566 through a thin film line 98 under the protective layer 4, tin-lead convex A block or gold bump may be formed on the electrical pad 16 to form a wire 39 1308785. The wire formed by the process may also be continued on the surface. The hexadecimal f6 is not directly electrically connected to the external circuit, but is electrically connected to the external circuit through the protective Ϊ1^film line 98, wherein the length of the film line % is, for example, wrong between 5G and reddish 1_«. The value bottle is that after the wide and thick interconnect network S66 is completed, the electrical pads 16 are still exposed to the same. Referring to FIG. 13b'1C, the circuit layer 3 further includes a plurality of interconnect networks 567. In the case of the layer 4, the plurality of semiconductor elements n can pass through the interconnect network 567. The plurality of interconnect networks 567 can be transmitted through the protective layer 4, and the network 566 is connected to each other. Portions of the semiconductor component 12 can be connected to the thick and wide strap shoulder 566 located on the protective layer 4 via the interconnect network 567 located at = ^4. However, the application of the present invention is not limited thereto, and it is also possible that all of the semiconductors 12 are connected to the interconnected network having a thick and wide protective layer through the interconnect network located under the protective layer 4. 566, as shown in Figure i3a and Figure i3c. Clear reference ®I 13a to FIG. 13c' can be replaced by a thick and wide network 566 disposed on the protective layer 4, which can replace the thin and thin interconnected network under the protective layer 4 in the prior art. The metal connection of the power supply or the ground busbar, so that some of the thin interconnects can remove the thick and wide connection formed on the protective layer 4, so that the parasitic capacitance of the semiconductor component can be reduced. Line network Na is more affected by changes in external voltage. In the present invention, the thick and wide connection network 566 located on the protective layer 4 can be connected in parallel to the ESD protection line 544 and the multi- and half-element devices I and 2, Since the connection network located on the protective layer 4 is thick, it is necessary to reduce the occurrence of unintended power supply (p〇wer jobs). The external power supply pad or external ground interface of the turn-on wafer connected to the power supply or ground node of the other semiconductor layer 12 may not be located in the semiconductor wafer or in the semiconductor wafer. The electrostatic discharge protection circuit of the other half of the 1308785 conductor chip is electrically connected, and 1 = system ϊ γ γ is directly connected to the other semiconductor chip. The electrostatic discharge protection circuit of the mind is known as Figure 14a-14h. The position of the protection sound 4 is from the insect, such as the network for transmitting the clock signal, the address signal, and the information; Nabi signal, etc., typical semiconductor components... number or class, at this time thick and wide connection network 566 "" or 'thick and wide connection network 566 can also transmit from electric flip = cry output Power/ground voltage. The body line 12 is shaped like a ri layer; the ri layer 12 can be an _S element: a circuit, a receiving path, an electrostatic discharge protection line 544, and a driver line formed in the HH 45 and other semiconductor elements. 2 in the shape of the 曰, the ESD protection line 544 is used for the 鳟 12 受到 _ _ _ _ ! ! ! ! ! ! ! 上 上 上 上 上 上 上 上 上 上 561 561 561 561 561 561 561 561 561 561 561 561 561 Drive test green defeat, pull static forced discharge protection 5 篗 line guardian 4 series sink l # receiver line, input / output line 545 connection. Guarantee == 3 = 3 1 4 (four) port can be exposed to the structure can be phased i The semiconductor wafer under the protective layer 4 is a circuit layer and a thick group of people. The thick gold structure and the manufacturing method, the layer or multi-voice shown in Figure 1b and the figure are thick and visible in the layer 566, such as by a contact ground; The thick and wide connection network 566 is, for example, directly #上, μ,: θ 4 , or a connection network formed on a thick polymer raft on the protective layer 4, which is connected to the IC circuit layer. The internal interconnection line 1308785 road m power protection line 544 is electrically connected to the driver line, the circuit line or the input/output line 545 in parallel. The gathering person ίίζ i4ti4d 8q 57q can be exposed to the thick burial "outside" Tin bumps or gold bumps may be formed on the pad 570, open to the external circuit, or may be bonded to the pad by a wire bonding process, so that the semiconductor wafer can be connected. .57Ϊ2Ϊ circuit. The ESD protection circuit 544 is electrically connected to the external circuit. This can avoid the occurrence of #非细丝(SU1*ge).

-時造成触。從外料路麵麵57G傳來的 在Ϊ訊號經過接受器線路545 _理後可以經由位 ,之見且厚的内連線網路566再分布至多個半導體元 = 路566再傳送至驅動器線路545,經過驅動 ί 5 =的放大處理後可以經由接墊57〇傳輸至外界。值得注 厚的内連線網路566係不向上對外電性連接。接墊 靜電放電保護線路544之間的=長= 如是100 Ξΐϊ;=器或輸出/輸入線路545之間的繞線長度比 請參照圖14e-14h,在保謹声4肉夕η f ,, 塾1611路i献由⑽縣卿成翁_射轉此電ίί 接口,藉以使半導體晶片可以電性連接外 544 „ 16 避免虽非預制浪(surge)發生時對半導體晶Μ 毀。從外部電路經㈣性接墊16傳麵—時脈訊號或翻 42 1308785 過接党器線路545的處理後可以經由位在保護層 =網路566再分布至多個半導體元件12;而從4= 厂5域可以經由位在賴層4上之寬且厚的内連線網路 再傳达至驅動器線路545,經過驅動器線路545的放大處理後 %傳輪至外界。值得注意的是,寬且厚的内連 f魏566係不向上對外電性連接,且在製作完寬且厚的内連線 ,娜讀,電性接墊16仍然暴露於外。電性接塾16至^ 【接收,器或輸出/輸人線路545之間的繞、線長度可以遠於電性接 墊16至靜電放電保護線路544之間的繞線長度,其中電性接墊16 至驅動器、接收器或輸出/輸入線路545之間的繞線長度比如是1〇〇 微米至1公分之間。此外,相鄰電性接墊16之間的距離比如在1〇〇 微米至1公分之間。 請參照圖14b、14d、14f及14h,IC線路層3還包括多個内連 線網路567,位在保護層4下’多個半導體元件12可以透過内連 線網路567相互連接,内連線網路567可以透過位在保護層4上 之厚且寬的連線網路566相互連接。部份之半導體元件12可以不 經由,在保護層4下之内連線網路567連接至位在保護層4上之 厚且I的連線網路566。然而,本發明的應用並不限於此,亦可以 是全部之半導體元件12不經由位在保護層4下之内連線網路連接 至位在保護層4上之厚且寬的連線網路566,如圖14a、14c、14e 及14g所示。 如果内連線之距離為長距離及/或線路網路(net circuits)的 負載為甚大的情況下,晶片對内驅動器或接收器(intra-chip driver or receiver)可能是必要的,如圖14c、圖i4d、圖I4g及圖14h所 示’在此所謂之晶片對内驅動器或接收器58〇係用於處理晶片内 半導體元件12之間的信號傳輸,或是用於處理晶片對外驅動器或 接收器545與半導體元件之間的信號傳輸,其中在此所謂之晶 43 1308785 片對外驅動器或接收器545係用於處理晶片對内驅動器或接收器 580與外部電路之間的#號傳輸。這些晶片對内(intra_chip)驅動器 或接收器580通常係小於晶片對外(0g:chip)驅動器或接收器545, 晶片對内(intra-chip)驅動器或接收器580具有較小之感應放大器 (se—g —lifler)、較小之拴鎖輸入線路(latched _ circuits)及“ 小之串聯電路(cascade stage)。就偵測信號的能力而言,接受器的 敏感度會受感應放大器、拾鎖輸入線路或串聯電路的影響。晶片 對内驅動器或接收器580並不具有靜電放電保護線路與^入/輸出 線路。然而,對於短距離之晶片内連線而言,亦可以不需要晶片 對内驅動器或接收器,如圖4a、圖4b、圖4e及圖4f所示。請參 照圖14c、圖14d、圖14g及圖14h,在同—半導體晶片内,信號 的傳輸可以經由二次之驅動n或接㈣545及58G的處理才 至半導體元件12或外界電路;或是信號的傳輸可以只經由一次之 驅動器或接收器545的處理即可傳送至半導體元件12 路,如圖4a、圖4b、圖4e及圖4f所示。 圖=繪示二讎動器、接收器或輸入/輸出線路545,藉由使 用厚且見的連線網路566來連接驅動器、接 路545至靜電放電保護線路544’來 ^^入/輸出線 路544。每個驅動器、接收靜電放電保護線 a ^ AW入/輸出線路545透過位在伴轉 層二之厚且寬的金屬線路566來連接位在保護層4下之= 兀件12。驅動器、連接器或輸入/輪屮 ^ 路544可以透過後護層8〇内之接塾別電保護線 此外,使祕在保護層4上之厚且界線路。 放電保護線路544,如圖14j所示 個靜電 术相互連接。驅動器,接 1308785 層15d ’多個半導體元件12係透過位在保護 ί - 線網路566連接,在本實施例中,由於半導 士凡丛爲亚不需透過寬且厚的連線網路566連接至外部電路,因 寶ί不需提供靜電放電保護線路或輸入/輸出線路用於盘 連線網路566連接,寬且厚的連線網路娜可用於胁 時,:若是半導體元件12之間的傳輸距離很近,- When it is touched. The signal transmitted from the outer surface 57G through the receiver line 545 can be redistributed to a plurality of semiconductor elements via the bit, and the thick interconnect network 566 is then distributed to the driver line 545. After being amplified by the drive ί 5 =, it can be transmitted to the outside via the pad 57〇. The interconnected network 566, which is worthy of being thick, is not electrically connected to the outside. Between the pads ESD protection line 544 = length = 100 Ξΐϊ; = the ratio of the winding length between the device or the output / input line 545, please refer to Figure 14e-14h, in the sound of the 4th eve f,塾1611路i offers (10) County Qingcheng _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ After passing through the (four) pads 16 - the clock signal or the flip 42 1308785, the processing of the party line 545 can be redistributed to the plurality of semiconductor components 12 via the protective layer = network 566; and from the 4 = factory 5 domain It can be retransmitted to the driver line 545 via a wide and thick interconnect network located on the layer 4, and is amplified by the driver circuit 545 to the outside. It is worth noting that it is wide and thick. Even the f Wei 566 system does not make an external electrical connection, and in the production of a wide and thick interconnect, Na read, the electrical pad 16 is still exposed. Electrical connection 16 to ^ [receiver, device or output The winding line length between the input line 545 and the input line 545 may be farther than the electrical pad 16 to the electrostatic discharge protection line 544. The length of the winding between the electrical pads 16 to the length of the winding between the driver, the receiver or the output/input line 545 is, for example, between 1 〇〇 micrometer and 1 cm. In addition, adjacent electrical pads The distance between 16 is, for example, between 1 〇〇 micrometer and 1 cm. Referring to Figures 14b, 14d, 14f and 14h, the IC circuit layer 3 further includes a plurality of interconnect network 567, located under the protective layer 4' The plurality of semiconductor elements 12 can be connected to each other through an interconnect network 567, and the interconnect network 567 can be connected to each other through a thick and wide connection network 566 located on the protective layer 4. Part of the semiconductor component 12 can Without passing, the interconnect network 567 is connected to the thick and I-connected network 566 located on the protective layer 4 under the protective layer 4. However, the application of the present invention is not limited thereto, and may be all. The semiconductor component 12 is not connected to the thick and wide wiring network 566 located on the protective layer 4 via an interconnect network positioned under the protective layer 4, as shown in Figures 14a, 14c, 14e and 14g. When the distance of the connection is long distance and/or the load of the net circuits is large, the chip An intra-chip driver or receiver may be necessary, as shown in Figures 14c, i4d, I4g, and 14h, where the so-called wafer-in-the-disk driver or receiver 58 is used for processing. Signal transmission between the semiconductor components 12 within the wafer, or for processing signals between the external driver or receiver 545 and the semiconductor components, wherein the so-called crystal 43 1308785 chip is used for the external driver or receiver 545 The ## transmission between the wafer internal driver or receiver 580 and the external circuit is processed. These intra-chip drivers or receivers 580 are typically smaller than the chip external (0g:chip) driver or receiver 545, and the intra-chip driver or receiver 580 has a smaller sense amplifier (se- g —lifler), smaller latched input circuits (latched _ circuits) and “cascade stage.” In terms of the ability to detect signals, the sensitivity of the receiver is affected by the sense amplifier and the lock input. The effect of a line or series circuit. The chip-to-inside driver or receiver 580 does not have an ESD protection line and an input/output line. However, for short-distance intra-wafer wiring, a wafer-to-in-chip driver may not be required. Or the receiver, as shown in Figures 4a, 4b, 4e and 4f. Referring to Figures 14c, 14d, 14g and 14h, in the same semiconductor wafer, the signal can be transmitted via the secondary drive. Or the processing of (4) 545 and 58G is to the semiconductor component 12 or the external circuit; or the transmission of the signal can be transmitted to the semiconductor component 12 via only one driver or receiver 545, as shown in FIG. 4a and FIG. 4b, Fig. 4e and Fig. 4f. Fig. = shows a two-cylinder, receiver or input/output line 545, which is connected to the driver and the junction 545 to electrostatic discharge by using a thick and visible connection network 566. The protection line 544' is provided to the input/output line 544. Each driver, the receiving electrostatic discharge protection line a^AW input/output line 545 is connected through the thick and wide metal line 566 of the accommodating layer 2 to protect the connection. Under the layer 4 = the device 12. The driver, the connector or the input / rim ^ 544 can pass through the back protection layer 8 塾 in the electrical protection line in addition, so that the thick and bound lines on the protective layer 4 The discharge protection circuit 544 is connected to each other by electrostatic electricity as shown in Fig. 14j. The driver is connected to the 1308785 layer 15d. The plurality of semiconductor elements 12 are connected through the protection ί-wire network 566. In this embodiment, The guides are not connected to the external circuit through a wide and thick connection network 566. Insulators do not need to provide ESD protection lines or input/output lines for the disk connection network 566 connection. A thick connection network can be used for threats: if it is a semiconductor component 1 The transmission distance between 2 is very close.

=而特別提供接收器或轉_來處理半導體元件^之間的訊號傳 二料此時見且厚的連線網路566並不需經由接收器或驅動器即可 …連接至半導體元件U,如圖以及说所示。若是半導體元 ^2之_傳輸距離很遠,元件層2可以提供接收器或驅動器來 =半導體=件12之間的訊號傳輸,此時寬且厚的連線網路施 係、讀接收n或鶴器雜連接至半導體元件12,如圖α d所示此接收器或驅動器wo係小於一般用於處理與外 電路之間断訊麟輸的接收1或轉ϋ。 ’、° 明參照圖15b及I5d,1C線路層3還包括多個内連線網路 ’位在保護層4下’多辨導體元件12可以透過内連線網路 567相互連接,位在保護層4上之厚且寬的連線網路娜係連接内 連線網路567。部份之半導體元件12可以不經由位在保護層4下 之内連線網路567連接至位在保護層4上之厚且寬的連線網路 566。然而,本發明的應用並不限於此,亦可以是全部之半導體元 件12不經由位在保護層4下之内連線網路連接至位在保護層4上 之厚且寬的連線網路566,如圖15a及15c所示。 ^ 圖16繪示利用一連串驅動器/接收器6(M、602或是轉換器 6〇3(tranSceiver)藉以傳輸一訊號從半導體元件以至另一半導體^ 件12b。透過一連串重覆器(repeater)或轉換器603及位在保護層4 45 1308785 且寬的連線666,可使相隔一段距離之二半導體元件❿ 及2之間進行訊號傳輸。-重覆器或是轉換器6〇3 一般包含一 =器6G2及驅動器6G1,透過位在保護廣4下的線路613可以使 及驅動器6〇1連接’兩個重覆器或是轉換器603之間 可以透過位在保護層4上之厚且寬的連線_連接。半導體 在輸出-峨並經·6G1的處理後,仅軸位在保護 6曰03,且ίΓΪ線666傳輸至一連串的重覆器或是轉換器 至接收H 在保護層4上之厚且寬的連線666,傳輸In particular, the receiver or the relay is provided to process the signal transmission between the semiconductor components. The thickened connection network 566 is not required to be connected to the semiconductor component U via the receiver or the driver, such as Figure and said. If the transmission distance of the semiconductor element ^2 is very long, the component layer 2 can provide a signal transmission between the receiver or the driver = semiconductor = component 12, in which case a wide and thick connection network is applied, read and receive n or The crane is connected to the semiconductor component 12, and the receiver or driver is smaller than the receiver 1 or switch which is generally used for processing the disconnection between the external circuit and the external circuit. Referring to Figures 15b and I5d, the 1C circuit layer 3 further includes a plurality of interconnect network 'positions under the protective layer 4'. The plurality of conductor elements 12 can be interconnected via the interconnect network 567. The thick and wide connection network on layer 4 connects to the interconnect network 567. Portions of the semiconductor component 12 can be connected to the thick and wide wiring network 566 located on the protective layer 4 via the interconnect network 567 located under the protective layer 4. However, the application of the present invention is not limited thereto, and all of the semiconductor elements 12 may be connected to the thick and wide wired network located on the protective layer 4 via the interconnecting network located under the protective layer 4. 566, as shown in Figures 15a and 15c. Figure 16 illustrates the use of a series of drivers/receivers 6 (M, 602 or converters 6〇3 (tranSceiver) to transmit a signal from a semiconductor component to another semiconductor device 12b. Through a series of repeaters or The converter 603 and the wide connection 666 located at the protective layer 4 45 1308785 can transmit signals between the semiconductor components ❿ and 2 separated by a distance. The repeater or the converter 6 〇 3 generally includes a The device 6G2 and the driver 6G1 can be connected to the driver 6〇1 through the line 613 located under the protection 4, and the thickness and width of the transmission layer 4 can be transmitted between the two repeaters or the converter 603. The connection _ connection. After the semiconductor is processed and outputted by the 6G1, only the axis is protected at 6曰03, and the line 666 is transmitted to a series of repeaters or converters to receive H in the protection layer 4. Thick and wide connection 666, transmission

===過接1f⑽的處理後,此訊號可以傳輸至半導 保護層4下之半導體晶片結構可以參考 層4下之半導體晶片結構。具有厚金屬線路層及厚Ϊ 法,後嘆層80內Ti參ΐ圖1b及圖3a-3d所示的結構及製作方 後濃層80内之厚且讀線路666比如是由一層或多声 上且寬的線路666比如係直接接觸地形成在保護層4 上,或形成在位於保護層4上之厚聚合物層上。 干隻曰4 就用於使半導體元件之㈣性連接的晶片 =用^列的準則來判斷否要安裝驅動器或接收器;“保^ i器之距離係小於D時,則不需驅動器或接 則厚且寬的線路f ^連接路之繞__大於D時, 導心杜,, 接至j型驅動器或接收器後,才連接至半 或接收器係指小於-般與外部電ΐ 至靜電放咖㈣精不需連接 的電 的内部結構之示意圖。位在半導體基底; 46 1308785 層ίϊ可以參相1a中1C線路層的說明,其中標號74G係為薄 膜)丨电層,標號741係為薄膜金屬層之二線路,位在薄膜介電層 740上位在保濩層18上之聚合物層742可以參考圖lb所繪示之 聚口,層20的材質及製造方法’厚金屬層743係位在聚合物層742 ^ /詳細結構及形成方法可畔考如圖及2j 2m所示之厚 金屬層的詳細結構及形成方法。=== After the processing of 1f(10) is over, the semiconductor wafer structure that can be transferred to the semiconductor layer under the semiconductor layer 4 can refer to the semiconductor wafer structure under layer 4. With a thick metal circuit layer and a thick Ϊ method, the structure shown in FIG. 1b and FIG. 3a - 3d of the sigh layer 80 is thick and the thickness of the read layer 666 is made by one or more layers. The upper and wider lines 666 are formed, for example, in direct contact on the protective layer 4 or on a thick polymer layer on the protective layer 4. The dry 曰4 is used to make the (four)-connected wafer of the semiconductor element = the criterion of the column is used to determine whether the driver or the receiver is to be mounted; "When the distance of the device is less than D, the driver or the connection is not required. Then the thick and wide line f ^ connecting the way around the __ is greater than D, the guiding center Du, after connecting to the j-type driver or receiver, is connected to the half or the receiver means less than - and externally Electrostatic coffee (4) Schematic diagram of the internal structure of electricity that does not need to be connected. It is located on the semiconductor substrate; 46 1308785 Layer ϊ can refer to the description of the 1C circuit layer in phase 1a, where 74G is a film) 丨 electrically layer, 741 For the second line of the thin film metal layer, the polymer layer 742 located on the protective layer 18 on the thin film dielectric layer 740 can refer to the poly-port shown in FIG. 1b, the material of the layer 20 and the manufacturing method 'thick metal layer 743 The detailed structure and formation method of the thick metal layer shown in Fig. 2j 2m can be shown in the polymer layer 742 ^ / detailed structure and formation method.

17,本發明中在保護層18上之厚成的金屬線路 減屈a實施例中’係由黏著/阻障層、種子層及一層或多層之電 斤構成)之厚度t2係厚於在傾層18下之薄膜金屬層之 Ί日:741之厚度U,且厚度之倍數大約在2到1,_倍之間。 屬線路743被形成來作為連接線路,且其寬度W2 ^細内連線741之寬度寬上2到 100與:夕=f且見的金屬線路743之厚度t2大約在2微米到 微乎曰戸曰1寬度以2大於或等於2微米,線距s2大於或等於2 且見的金屬線路743可以具有較低的電阻值。 連接1請參照圖17 ’就保護層18下的結構而言,細 介電声740之歷約為2微米,寬度Wl約為10微米,薄膜 電層$40得A _ = Μ約為2微米,線距Sl約為10微米,薄膜介 &見度w2約為10微米,聚合物層742之厚度 胺。在上述侔件下由^約為1〇微米,聚合物層742係為聚酿亞 屬線路741與保護呆濩層18下之薄膜金屬層之細内連接金 使保護層18上之金曰屬1上之金屬線路743在厚度上之差別,可以 屬層之細内連接金屬=路7743之電阻值比保護層Μ下之薄膜金 件下,因之電阻值可以小上2.5倍。在上述條 層之%連接姐^之後護層金屬結構比保護層下之薄膜金屬 連接線路之電陡電容乘積值可以小上6.25倍,或大約5倍。 47 1308785 薄二=:’請參照圖17 ’就保護層18下^^ 溥膜金屬層之連接線路741之屋译 10微米,薄膜介電層740之厚t H 1微米,寬度Wl約為 微米,薄膜介電層740係為約為〇·5微米,線距Sl约為2 金屬線路18上的結構而言, 物層742的厚度汜約為5 i半/始見度W2約為1〇微米’聚合 742係為聚醯亞胺。在上述停件下=S2約為10微米,聚合物層 伴镬声下之薄膜合属爲夕々由使保濩層上之後護層金屬結構比 =層下之4膜金屬層之細連接線路之電阻電容乘積值小上沁 薄二:層18下之結構而言, 0.2« , m^774〇^ ^ 0.2微米,介薄膜介電層係為二氧化;^ === 構而言,金屬線路743的厚度t2約為5微米,寬二曰上:: 米,聚合物層742的厚度d2約為5微米 、、、為:微 聚合物層742係為聚酿亞胺。在上述17, in the present invention, the thickened metal line on the protective layer 18 is reduced in thickness. In the embodiment, the thickness t2 of the adhesive/barrier layer, the seed layer and one or more layers of the wire is thicker than The next day of the thin film metal layer under layer 18: the thickness U of 741, and the multiple of the thickness is between about 2 and 1, _ times. The line 743 is formed as a connection line, and its width W2 ^ the width of the thin line 741 is 2 to 100 wide and ‧ = f and the thickness t2 of the metal line 743 is about 2 micrometers to slightly The width 1 of the 曰1 is 2 or more and 2 μm, the line pitch s2 is greater than or equal to 2, and the metal line 743 as seen may have a lower resistance value. Connection 1 Please refer to FIG. 17 'As far as the structure under the protective layer 18 is concerned, the fine dielectric sound 740 has a history of about 2 micrometers, the width W1 is about 10 micrometers, and the thin film electrical layer $40 has A _ = Μ about 2 micrometers. The line spacing S1 is about 10 microns, the film dielectric & visibility w2 is about 10 microns, and the thickness of the polymer layer 742 is amine. Under the above-mentioned element, the polymer layer 742 is a thin inner metal layer 741 and a thin metal layer under the protective layer 18 to make the gold layer on the protective layer 18. The difference in the thickness of the metal line 743 on the layer 1 can be the thickness of the metal in the layer = the resistance value of the road 7743 is lower than that of the film under the protective layer, so that the resistance value can be 2.5 times smaller. After the % of the above-mentioned strips are connected, the metal structure of the sheath metal layer can be 6.25 times smaller, or about 5 times smaller than the thin metal connection line of the thin film metal connection line under the protective layer. 47 1308785 Thin two =: 'Please refer to Figure 17 for the protective layer 18 ^ ^ 溥 film metal layer connection line 741 10 micron, thin film dielectric layer 740 thickness t H 1 micron, width Wl is about micron The thin film dielectric layer 740 is about 〇·5 μm, and the line spacing S1 is about 2, and the thickness of the object layer 742 is about 5 μ half / the visibility W 2 is about 1 〇. The micron' polymerization 742 system is a polyimine. Under the above-mentioned stop parts, the S2 is about 10 micrometers, and the film of the polymer layer with the squeaking sound belongs to the thin connecting line of the metallurgical layer of the protective layer after the protective layer. The product of the resistance and capacitance is small and thin. The structure of layer 18 is 0.2« , m^774〇^ ^ 0.2 μm, and the dielectric layer of the dielectric film is dioxide; ^ === structure, metal The thickness t2 of the line 743 is about 5 μm, the width of the double layer is ::5, and the thickness d2 of the polymer layer 742 is about 5 μm. The micropolymer layer 742 is a poly-imine. Above

屬線路741與保護層^之金SC 保護層心之薄膜接電阻值比 上奶倍。在上述條件下,因此,保小 S層倍丨8下之馳金屬層之細連魏仅触電=== 在另一實施例中’就保護層18下之結構而言,薄膜金屬層之 48 1308785 連接線路741之厚度tl約為〇 4微米,寬度wl約為〇 2微米薄 ,;丨電層740之厚度dl約為〇.4微米,線距si约為〇 2微米,介 薄膜介電層7制系為二氧化石夕;就保護層18上的結構而言,金屬 線路743的厚度t2約為1〇微米,寬度w2约為1〇微米,介電層 742的厚度汜約為1〇微米,線距s2約為4〇微米,介電層742係 為聚酿亞胺。在上述條件下,崎健層τ之細金屬層之細 内連接金屬線路741與保護層18上之金屬線路743在厚度上之差 別二可以使保護層18上之金屬線路743之電阻值比保護層18下 之薄臈金屬層之細内連接金屬線路741之電阻值要小上1,2犯 。因此’在上述條件下’可以使保護層18上之後護層金屬結 t保5蒦層18下之薄膜金屬層之細連接線路之電阻電容 上 10,000 倍。 根據上述討論,保護層18上魏層金屬結構比保護層18下 ^膜金屬層之細連接線路之電阻電容乘積值小上5倍到1〇_ =之間’保護層丨8上後護層金屬結構之電阻電容 參 ί環保護層18上之介電層— 本發明之數個優點: 1) 由於使用厚且寬的金屬、線路(導致電阻 介電聚合物(導致寄生電容值下 i見的金屬線路之電阻電容乘積值,因此可明 訊號反應速率,提升積體電路的效能。 2) 不需要使用傳統用在次微米積體電路製曰 不需要像次微求積體電路需在條件較嚴苛的無塵室:貝切^ ’ 或更少)中製造。而本發明的後護層製 二、 /糸淨又 或以上的·n 淨請 3) 透過本發明之位在保護層上的厚金屬it易於整合 49 1308785 排、接地匯流排及時序分佈網路(clock 的厘Ιίί統化晶片(soc)設計上,透過本發明之位在保護層上 當遠且具細功能的電 式二r過=線 内的種標準化BGA封裝之方法。也就是說,黯基板 _之,部分或4至全部可以由賴層上之厚金屬線路取代 之’如此可_bga基㈣製域本。 取代 屬線路金凸塊及打線導線可以使保護層上之厚金 位置議、麟配置接塾 數二it種扇出接塾、重新配置接塾位置、減少或增加接墊 猎以增加設計彈性。藉由保護層上之厚金屬線路 功d 墊、重新配置接墊位置、減少或增加接墊數目的 故ΐ以二Γ下?鉛凸塊、金凸塊或打線接墊配置在適合的地方, 及多晶===雜,尤討咖在她封裝㈣ 法’=)二電:=^及分布訊號的方 間,保)^^^口的最大尺寸可以是介於25微米至0.1微米之 層下^=麟她織刪之_接至保護 金屬了之細金勒魏結觀輕倾層上之厚 50 1308785 屬由提供比BGA基板的金屬設計常_要細的後護層金 屬…構(位在保護層上的金屬結構)來取代BGA連接線路的功能。 如此,BGA基板設計因此變的更為簡單,而成本也可大幅下降。 雖然本發明W紐實施_露如上,财鱗㈣限定本 毛明’任何《此賴者’在不脫離本發明之精神和翻内,舍 ===飾’因此本發明之保護範圍當視後附之申; 导利範圍所界定者為準。 【圖式簡單說明】 圖la繪示本發明之半導體晶片的簡示圖。 圖lb繪示本發明之—實施例之半導體晶片的剖面示音圖。 圖2a至圖2m繪示厚金屬線路之製造過程的剖面示意圖。 圖3a至圖3d繪示本發明之半導體晶片的剖面示意圖。 圖4a、5a、6a及7a $會示透過印刷電路板實現扇出接墊、接塾 變換位置、減少接墊配置及增加接墊配置之概念。 路會6b及料示透過位在保護層上厚且寬的金屬線 路f現扇出接墊、接錢換位置、減少接墊配置及增加接塾配置 之概念。 圖8a及8b繪示電感元件在保護層上之剖面示意圖。 圖9a_9d _:細本發明—較佳實糊之賴器形成在保護 層上之剖面示意圖。 圓上導體曰^曰 圖。圖11a至圖Uc繪示電阻元件形成在轉體晶圓上的剖面示意 圖12a及圖12b緣示依照本發明之一實施例中將已製作完成 的被動元件接合在半導體晶圓上的示意圖。 51 1308785 圖13a-13c繪示用於分布電源電壓或接地電壓的線路結構。 圖14a-14J繪示依照本發明之一實施例中位在保護層上之厚 且寬的連線網路的示意圖。 圖15a至圖I5d繪示依照本發明之一實施例中位在保護層上 之厚且寬的連線網路的示意圖。 圖16繪示利用—連串驅動器/接收 士 之連線網路的示意圖。 从觀U子且見 圖17繪示本㈣之半導叫⑽結構之示意圖。The film connection resistance value of the gold-SC protective layer of the line 741 and the protective layer is higher than that of the upper layer. Under the above conditions, therefore, the fine metal layer of the metal layer under the double layer S is only electro-sensitive === In another embodiment, in terms of the structure under the protective layer 18, the thin film metal layer 48 1308785 The connection line 741 has a thickness t1 of about 4 micrometers and a width wl of about 2 micrometers thin; the thickness dl of the tantalum layer 740 is about 〇.4 micrometers, and the line spacing si is about 微米2 micrometers. The electrical layer 7 is made of sulphur dioxide; in terms of the structure on the protective layer 18, the metal line 743 has a thickness t2 of about 1 〇 micrometer, a width w2 of about 1 〇 micrometer, and a thickness 介 of the dielectric layer 742. 1 〇 micron, the line spacing s2 is about 4 〇 micron, and the dielectric layer 742 is a poly-imine. Under the above conditions, the difference in thickness between the fine inner connecting metal line 741 of the fine metal layer of the sacrificial layer τ and the metal line 743 of the protective layer 18 can make the resistance value ratio protection of the metal line 743 on the protective layer 18 The resistance value of the thin inner connecting metal line 741 of the thin tantalum metal layer under the layer 18 is less than 1,2. Therefore, under the above conditions, the protective layer of the thin metal layer under the protective layer 18 can be made 10,000 times higher than that of the thin metal layer under the layer 18. According to the above discussion, the resistance-capacitance product of the fine-layered metal structure on the protective layer 18 is less than 5 times to 1 〇 _ between the thin-connected lines of the protective layer 18 and the protective metal layer. Dielectric Resistor Capacitor The dielectric layer on the protective layer 18 - several advantages of the present invention: 1) due to the use of thick and wide metal, lines (resulting in resistive dielectric polymers (causing parasitic capacitance values i see The resistance-capacitance product value of the metal line can therefore improve the response rate of the signal and improve the performance of the integrated circuit. 2) It does not need to be used in the sub-micron integrated circuit, and it does not need to be in the condition of the sub-micro-accumulation circuit. More rigorous clean room: Made in Becher's ^' or less. However, the back cover of the present invention is made of 2, /, or more, and 3). The thick metal which is placed on the protective layer of the present invention is easy to integrate 49 1308785 row, ground bus and timing distribution network. (The method of clocking the 晶片 Ι ί 统 clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock clock Substrate_, part or 4 to all can be replaced by a thick metal line on the layer. This can be used to make the _bga base (4) domain. Replace the line gold bumps and wire conductors to make the thick layer on the protective layer The lining is connected with two types of fan-out connectors, reconfiguring the joint position, reducing or increasing the padding to increase the design flexibility. By thick metal lines on the protective layer, reconfigure the pad position, Reduce or increase the number of pads, such as two bumps, lead bumps, gold bumps or wire pads are placed in the appropriate place, and polycrystalline === miscellaneous, especially in her package (four) method '=) Second power: =^ and the square of the distribution signal, the maximum size of the ^^^ port can be It is between 25 micrometers and 0.1 micrometers. ^= 麟 织 之 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ To replace the function of the BGA connection line, a thin rear cladding metal structure (a metal structure located on the protective layer). In this way, the BGA substrate design is thus made simpler and the cost can be greatly reduced. Although the invention of the present invention is as disclosed above, the financial scale (four) defines Ben Maoming 'any "this person" does not deviate from the spirit and the inside of the present invention, and therefore the scope of protection of the present invention is regarded as Attached to the application; the scope defined by the scope of guidance is subject to change. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1a is a schematic view of a semiconductor wafer of the present invention. Figure lb is a cross-sectional view of a semiconductor wafer of an embodiment of the present invention. 2a to 2m are schematic cross-sectional views showing a manufacturing process of a thick metal line. 3a to 3d are schematic cross-sectional views showing a semiconductor wafer of the present invention. Figures 4a, 5a, 6a, and 7a$ show the concept of fan-out pads, interface changeovers, reduced pad configurations, and increased pad configurations through printed circuit boards. The road meeting 6b and the material of the thick and wide metal line passing through the protective layer are now fan-out pads, receiving money for position, reducing the pad configuration and increasing the interface configuration. 8a and 8b are schematic cross-sectional views of the inductor element on the protective layer. Fig. 9a - 9d _: A schematic view of a cross section of a preferred embodiment of the present invention formed on a protective layer. The upper conductor 曰^曰 figure. 11a to 9c are schematic cross-sectional views showing the formation of a resistive element on a rotor wafer. Figs. 12a and 12b are schematic views showing the bonding of a completed passive component to a semiconductor wafer in accordance with an embodiment of the present invention. 51 1308785 Figures 13a-13c illustrate the line structure for distributing the supply voltage or ground voltage. 14a-14J are schematic diagrams of a thick and wide wired network positioned on a protective layer in accordance with an embodiment of the present invention. 15a through 15d are schematic views of a thick and wide wired network positioned on a protective layer in accordance with an embodiment of the present invention. Figure 16 is a diagram showing the use of a series of drivers/receivers connected to the network. From the view of U, and see Figure 17, a schematic diagram of the structure of the semi-guided (10) of this (4).

【列表簡單說明】 表一係詳聽護層上後金屬結構之雜電容乘積值。 【圖式標示說明】 1 :半導體基底 2 :元件層 3 : 1C線路層 4 :保護層 10 :半導體基底 12 :薄膜介電層 12a:半導體元件 12b :半導體元件 14 :金屬/介電層 16 :電性接墊 17 :保護層中之開口 18 :保護層 20 ·聚合物層 26 .厚且見的金屬線路 52 1308785 27 :聚合物層中之開口 28 :聚合物層中之開口 29 :保護層中之開口 30 :厚金屬層 40 :箭號 42 :箭號 44 :箭號 50 :聚合物層 60 :厚金屬層[Simple description of the list] Table 1 shows the product of the heterocapacitance of the metal structure on the listening layer. [Description of Patterns] 1 : Semiconductor Substrate 2: Element Layer 3: 1C Line Layer 4: Protective Layer 10: Semiconductor Substrate 12: Thin Film Dielectric Layer 12a: Semiconductor Element 12b: Semiconductor Element 14: Metal/Dielectric Layer 16: Electrical pad 17: opening 18 in the protective layer: protective layer 20 · polymer layer 26 . thick and visible metal line 52 1308785 27 : opening 28 in the polymer layer : opening 29 in the polymer layer : protective layer Opening 30: Thick metal layer 40: Arrow 42: Arrow 44: Arrow 50: Polymer layer 60: Thick metal layer

70 :聚合物層 77 :聚合物層中之開口 80 ··後護層 97 :厚金屬線路 98 ··短距離内連線 99 :金屬蓋 100 :積體電路 101 :錫鉛凸塊 102 :錫鉛凸塊 103 :錫鉛凸塊 104 :錫鉛凸塊 105 :錫鉛凸塊 107 :線路 111 :植球焊球 112 :植球焊球 113 :植球焊球 114 :植球焊球 115 :植球焊球 53 1308785 119 :閘極 120 :源極(Source)及没極(Drain)擴散層 121 :錫鉛焊球 122 :錫鉛焊球 123 :錫鉛焊球 124 :錫鉛焊球 125 :錫鉛焊球 130 : BGA 基板 131 : BGA基板内之金屬線路70: polymer layer 77: opening 80 in the polymer layer · · back cover 97: thick metal line 98 · short distance interconnect 99: metal cover 100: integrated circuit 101: tin-lead bump 102: tin Lead bump 103: tin-lead bump 104: tin-lead bump 105: tin-lead bump 107: line 111: ball solder ball 112: ball solder ball 113: ball solder ball 114: ball solder ball 115: Ball soldering ball 53 1308785 119 : Gate 120 : Source (Source) and Drain diffusion layer 121 : Tin-lead solder ball 122 : Tin-lead solder ball 123 : Tin-lead solder ball 124 : Tin-lead solder ball 125 : Tin-lead solder ball 130 : BGA substrate 131 : Metal line in BGA substrate

132 : BGA基板内之線路 134 : BGA基板内之線路 136 : BGA基板内之線路 138 :錫錯焊球 140 :錫鉛焊球 142 :錫鉛焊球 151 : BGA基板内之線路 153 : BGA基板内之線路 155 : BGA基板内之線路 161 :錫錯焊球 162 :錫鉛焊球 163 :錫鉛焊球 164 :錫鉛焊球 165 :錫錯焊球 200 :黏著/阻礙層 202 :種子層 203 :厚光阻層 204 :金屬層 54132: Line 134 in BGA substrate: Line 136 in BGA substrate: Line 138 in BGA substrate: Tin solder ball 140: Tin-lead solder ball 142: Tin-lead solder ball 151: Line 153 in BGA substrate: BGA substrate Line 155 inside: Line 161 in BGA substrate: Tin solder ball 162: Tin-lead solder ball 163: Tin-lead solder ball 164: Tin-lead solder ball 165: Tin-staggered solder ball 200: Adhesive/obstruction layer 202: Seed layer 203: thick photoresist layer 204: metal layer 54

1308785 205 :凹陷部 206 :金屬層 222 :聚合物層 223 :聚合物層内之開口 301 :金屬接墊 302 :金屬接墊 303 :金屬接墊 304 :金屬接墊 305 :金屬接墊 311 :連外接點 312 :連外接點 313 :連外接點 314 :連外接點 315 :連外接點 321 :連外接點 322 :連外接點 323 :連外接點 324 :連外接點 325 :連外接點 328 :連外接點 330 :連外接點 332 :連外接點 340 :電感元件 341 :聚合物層 342 :電容元件之下電極 345 :電容元件之上電極 346 :電容元件之電容介電層 55 1308785 360 :變壓器之底層線圈 361 :連外接點 362 :連外接點 363 :連外接點 364 :連外接點 365 :連外接點 366:變壓器之上層線圈 448 :電阻元件 450 :金屬層1308785 205: recess 206: metal layer 222: polymer layer 223: opening 301 in polymer layer: metal pad 302: metal pad 303: metal pad 304: metal pad 305: metal pad 311: connect External point 312: Connect external point 313: Connect external point 314: Connect external point 315: Connect external point 321: Connect external point 322: Connect external point 323: Connect external point 324: Connect external point 325: Connect external point 328: Connect External point 330: connected external point 332: connected external point 340: inductance element 341: polymer layer 342: capacitive element lower electrode 345: capacitive element upper electrode 346: capacitive element capacitive dielectric layer 55 1308785 360: transformer Bottom coil 361: Connect external contact 362: Connect external contact 363: Connect external contact 364: Connect external contact 365: Connect external contact 366: Transformer upper layer coil 448: Resistive element 450: Metal layer

452 :銲料 453 :銲料 454 :被動元件 544 :靜電放電保護線路 545 :驅動器線路、接收器線路或輸入/輸出線路 561 :内連線 566 :厚且寬的連線網路 567 :内連線網路 568 :接點 570 :接墊 580 :晶片對内驅動器或接收器 601 :驅動器/接收器 602 :驅動器/接收器 603 :轉換器 613 :線路 666 :厚且寬的連線 740 :薄膜介電層 741 :薄膜金屬層之線路 56 1308785 742 :聚合物層 743 :厚金屬層452: Solder 453: Solder 454: Passive Element 544: Electrostatic Discharge Protection Line 545: Driver Line, Receiver Line, or Input/Output Line 561: Interconnect Line 566: Thick and Wide Connection Network 567: Interconnect Network Path 568: Contact 570: Pad 580: Wafer inward driver or receiver 601: Driver/receiver 602: Driver/receiver 603: Converter 613: Line 666: Thick and wide connection 740: Thin film dielectric Layer 741: Thin film metal layer line 56 1308785 742: Polymer layer 743: Thick metal layer

5757

Claims (1)

13087851308785 十、申請專利範圍: h ,電子元件結構,包括: 底靜電放電保護線路元件; 體开I靜,放電保護線路元件、—第—半導體元件及—第二半導 體7位在該錄底上; 件、#ϊ — f膜金屬層,位在該矽基底、該靜電放電保護線路元 二半導體元件及該第二半導體元件上方; 一Plai if,位在該第一薄膜金屬層上,該介電層包括多個第 開二f露出該第一薄膜金屬層; 一==黏著/阻障層,位在該介電層上及該些第一開口内; 口内ϊΐΐ薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 #二”第二薄膜金屬層包括一電鍍銅層,該電鑛銅層位在該第 一黏者/阻障層上及該些第—開口内; 靜電放電保護線路元件 開二1開二第四開口暴露出該第:薄 電放電保護線U -接點及-接墊,該接墊連接該靜 -聚合物層,位在該保護層上,該聚合物層之—第五開 該第一接點及該第二接點,該聚合物層厚度大 一金屬線路,位在該聚合物層上,連接該第一接點及該 接J ’該金,線路包括一第二黏著/阻障層位在該聚合物層、該--接點及該第二接點上,—種子層位在該第二黏著/轉層上,— 連=⑵2=t:第-半導體元件經由該金屬線路 一打線導線,連接該接墊。 2.如申請專利細第!猶述之電子元件結構,其巾該電錢金屬 •保護層,位在該矽基底上方及該第二薄膜金屬層上,該保 58 1308785 罩各·月Wi%換頁 層包括銅。 3·如申請專利細第1項所述之電子元件結構,其中 層包括金。 4. 如申請專利範圍帛1項所述之電子元件結構,其中更包括一錄 層位在該電鍍金屬上。 5. 如申請專利細第1項所述之電子元件結構,其巾該聚合物層 包括一聚亞酿胺。 6·如申,專利細第1項所叙電子元件結構,其巾該保護層包 括在该電子元件結構中最了員層之氮石夕化合物声。X. Patent application scope: h. Electronic component structure, including: bottom electrostatic discharge protection circuit component; body open I static, discharge protection circuit component, - semiconductor component and - second semiconductor 7 position on the recording bottom; a #膜-f film metal layer on the germanium substrate, the electrostatic discharge protection circuit element two semiconductor elements and the second semiconductor element; a Plai if, located on the first thin film metal layer, the dielectric layer Included in the first opening, the first thin film metal layer is exposed; a == adhesive/barrier layer is disposed on the dielectric layer and the first openings; and the inner thin film metal layer is located at the first The second thin film metal layer on the adhesion/barrier layer and the first opening #2" includes an electroplated copper layer on the first adhesive/barrier layer and the first openings The ESD protection circuit component opens the second opening and the fourth opening exposes the first: the thin electric discharge protection wire U-contact and the pad, the pad is connected to the static polymer layer, and is located on the protective layer The fifth layer of the polymer layer - the first contact and the second connection Point, the polymer layer has a thickness of one metal line, is located on the polymer layer, connects the first contact and the connection, and the line includes a second adhesion/barrier layer on the polymer layer , the contact and the second contact, the seed layer is on the second adhesive/transfer layer, and the connection = (2) 2 = t: the first semiconductor component is connected to the wire via the metal wire. 2. As claimed in the patent specification, the electronic component structure of the invention, the wire metal protection layer, located above the base of the crucible and on the second thin film metal layer, the protection 58 1308785 cover each month The Wi% page-changing layer comprises copper. 3. The electronic component structure as described in claim 1, wherein the layer comprises gold. 4. The electronic component structure as described in claim 1, wherein the recording layer further comprises a recording layer 5. The electronic component structure as described in claim 1, wherein the polymer layer comprises a poly-branched amine. 6. The application of the electronic component described in the first item Structure, the protective layer of the towel comprises the most abundant layer of nitrogen in the structure of the electronic component Compound sound. 7. ==範述之電子元件結構’其中該其中該聚 8. 二=範C之電子元件結構’其中該第-轉 9. 如申請專利範圍f 1項所述之電子元件 阻障層包括含鈦之金屬層。 稱/、〒^黏者/ 10. 如申請專娜目帛丨項騎之電子 /阻障層包括含鈦之金屬層。 稱/、π弟一黏者 11. 一種電子元件結構,包括: 靜電放電保護線路元件一矽基底; 一半導體元件’位在該矽基底上; 弟’專膜金屬層,位在該石夕基底及該半導體元件上方· -門’位在該第—賊金屬層上,該介電層包括多個第 開暴路出該弟一薄膜金屬層; 二,=黏著/阻障層,位在該介電層上及該些第-開口内; ⑽一 膜金屬層’位在該第—黏著/轉層上及該些第二開 -黏著;障銅層’該電鐘銅層位在該第 讀’位在該祕底上方及該第二薄膜金屬層上,該保 ° 帛一開口、一第三開口及一第四開口暴露出該第二薄、 59 1308785 膜金接點、-第二接點及-接墊; —-- 一第/門:1乂2在該保護層上’該聚合物層之-第五開口及 於該ϋΐίΐί 接點及該第二接點,該聚合物層厚度大 接該聚合物層上’連接該第—無及該第二 雷缺各厘®A +接站上一種子層位在該第二黏著/阻障層上,一 Μ在該種子層上,該魏金屬層包括電鍍銅; 一ifί i位在該接塾上及該保護層上方;以及 塊/連接該接虹之該金屬層。 11項所述之電子元件結構,其巾更包括-鎳層位在該電鍍金屬上。 僻八丁又匕祜 13.如申請專利範圍第u 層包括-聚亞醯胺。 计兀件^構,其中该聚合物 圍第U項所述之電子元件結構,其中該保, H t由子树結射最頂層之氮魏合物層。 •層包申^^圍了^1撕述之電托縣構,糾該聚合物 %===二項所_子元件結構,其中該第一黏 17. 如申請專利範圍第u項所述之丰姓 # — 著/阻障層包括含鈦之金屬層。70件、、、口構,其中該弟-黏 18. ΐΓϊί利範圍第11項所述之電子元件結構,豆中该第一黏 著/阻障層包括含鈦之金屬層。 傅/、甲汲弟一黏 19. 一種電子元件結構,包括: 一矽基底; 一半導體元件’位在該矽基底上; =Ϊ電錄底及該半導體元件上方; ,1電層,位在㈣-_金屬層上,該介電層包括多個第 1308785 一開口暴露出該第一薄膜金屬層; 一第一黏著/阻障層,位在該介電層上及該些第一開口内; 一第二薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 口内,該第二薄膜金屬層包括一電鍍銅層,該電鑛銅層位在該第 一黏著/阻障層上及該些第一開口内; 二一保護層,位在該矽基底上方及該第二薄膜金屬層上,該保 濩層内之一第二開口、一第三開口及一第四開口暴露出該第二薄 膜金屬層之一第一接點、一第二接點及一接墊; a一聚合物層,位在該保護層上,該聚合物層之一第五開口及 一第六開口暴露出該第一接點及該第二接點,該聚合物層厚 於該介電層之厚度; 一金屬線路,位在該聚合物層上,連接該第一接點及該第二 接點,該金屬線路包括一第二黏著/阻障層位在該聚合物層、談^ 接點及該第二接點上’一種子層位在該第二黏著/阻障層上,一 電鑛金屬層位在雜子層上,該電鍍金屬層包括電鑛金; 一金屬層,位在該接墊上及該保護層上方;以及 打線導線’連接該接塾上之該金屬層。 20.如申請專利範圍第19斯述之電子元件結構,其中 層包括一聚亞醯胺。 物 2L如申,專利範圍帛19撕述之電子元件結構,其中該保護居 匕括在該電子元件結構中最頂層之氮石夕化合物層。 曰 A層如包申撕叙電子元姆’其中該聚合物 項所述之電子元件結構,其中該第-黏 24·如申請專利範圍第19項所述之電子元件社盖 著/阻障層包括含鈦之金屬層。電子兀件、、、。構其中該弟—黏 25.如申請專利範圍第19項所述之電子猶結構, 著/阻障層包括含鈦之金屬層。 "弟一黏 61 1308785 26· —種電子元件結構,包括: 年·月—日修正機頁 一矽基底; 一靜電放電保護線路元件、一第一半導體元件及一第二半導 體元件’位在該矽基底上; 一第一薄膜金屬層,位在該矽基底、該靜電放電保護線路元 件、該第一半導體元件及該第二半導體元件上方; 一介電層,位在該第一薄膜金屬層上,該介電層包括多個第 一開口暴露出該第一薄膜金屬層; 一,一黏著/阻障層,位在該介電層上及該些第一開口内; 一第二薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 口内,該第二薄膜金屬層包括一電鍍銅層,該電鍍銅層位在該第 一黏著/阻障層上及該些第一開口内; 一保濩層,位在該石夕基底上方及該第二薄膜金屬層上; 一聚合物層,位在該保護層上,該聚合物層内之一第二開口、 一第三—開口及一第四開口暴露出該第二薄膜金屬層之一第一接 點、一第二接點及一接墊,該聚合物層厚度大於該介電層之 該接墊連接該靜電放電保護線路元件; X 一金屬線路,位在該聚合物層上、該第二開口及該第三口 内,連接該第一接點及該第二接點,該金屬線路包括一 / φ阻障層位在該聚合物層、該第一接點及該第二接點上,—種子居 位在該第二黏著/阻障層上,一電鍍金屬層位在該種子層上, 鍍金屬層包括電鍍銅,該第一半導體元件經由該金屬 ' 該第二半導體元件; 俗運接至 金屬層,位在該接墊上及該聚合物層之該第四開口内;以 一含錫凸塊,連接該接墊上之該金屬層。 其中該聚合物 27.如申請專利範圍第26項所述之電子元件結構, 層包括一聚亞醯胺。 28·如申請專職ϋ第26項所述之電子元件結構,其中該保護層 62 1308785 化合物層。®5 :替換J F 29. 如申請專利範圍第26項=跡化合物層。"s~*- 層包括一苯基環丁烯。 ^電子兀件結構,其中該聚合物 30. 如申請專利範圍第26項所述之 著/阻障層包括一氮化鈕。。 子70件…構,其中該第一黏 31. 如憎翻_第26俩述 著/阻障層包括含鈦之金屬層。 '、、《構,/、中该第一黏 32. 如申請專利範圍第26項所述之 著/阻障層包括含鈦之金屬層。 '、、σ ,/、中該第二黏 33. —種電子元件結構,包括: 一矽基底; 體耕件、—第一半導體元件及一第二半導 件、該放觀線路元 一$電層’位在該第-薄膜金屬層上,該介電層 一開口暴露出該第一薄膜金屬層; 著/阻障層’位在該介電層上及該些第—開口内; 咖一t薄膜金屬層’位在該第—黏著/阻障層上及該些第二開 • 口赴-Γί·—薄膜金屬層包括—電鑛銅層,該電鍍銅層位在該第 一黏者/阻障層上及該些第一開口内; 弟 -保護層’位在該石夕基底上方及該第二薄膜金屬層上; 一聚合物層,位在該保護層上,該聚合物層内之一第二開口、 -第三開Π及-第四開口暴露出該第二薄膜金屬層之一第一接 點、一第二接點及一接墊’該聚合物層厚度大於該介電層之厚度, 該接墊連接該靜電放電保護線路元件; 一金屬線路,位在該聚合物層上、該第二開口及該第三開口 内,連接該第一接點及該第二接點,該金屬線路包括一第二黏著/ 阻障層位在該聚合物層、該第一接點及該第二接點上,一種子層 63 _v 1308785 1屬層位在該種子h 該第二半導^= 弟一+導體元件經由該金屬線路連接至 及,屬層位在該接墊上及該聚合物層之該第四開口内;以 -打線導線’連接該接墊上之該金屬層。 34·層帛33撕狀1子元储構,料該聚合物 35包撕狀電子元储構,射該保護層 36層如包項解之奸元储構,料該聚合物 37.著細之電子元件結構,其+該第一黏 述之電子元件結構’其中該㈣ 39著=^=^=狀奸元储構,料該第二黏 40. —種電子元件結構,包括: 一矽基底; 一靜電放電保護線路元件、一第一半導體元及一二 體元件,位在該矽基底上; 一 一=-薄膜金屬層’位在該石夕基底、該靜電放電保護線路元 件、該第一半導體元件及該第二半導體元件上方; 一介電層,位在該第一薄膜金屬層上’該介電 一開口暴露出該第一薄膜金屬層; 一第一,著/阻障層,位在該介電層上及該些第一開口内; 一第一薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 口内,該第二薄膜金屬層包括一電鑛銅層,該電鍵銅層位在該第 64 1308785 一黏著/阻障層上及該些第一開口内; 替換頁 一保護層’位在該石夕基底上方及該第二薄膜金屬層上; 一聚合物層’位在該保護層上,該聚合物層内之一θ’ -第三開口及-細開口暴露出該第二_金屬層之= 點、一第二接點及一接墊’該聚合物層厚度大於該介電声 該接墊連接該靜電放電保護線路元件; θ予又’ 一金屬線路,位在該聚合物層上、該第二開口及該 内,連接該第-接點及該第二接點,該金屬線路_:H 口 阻障層位在該聚合物層、該第一接點及該第二接點上, ί立ίί第二黏著/阻障層上,一電鑛金屬層位在該種子層上, ==銅’該第一半導體元件經由該金屬 及一金屬層’位在該接墊上及該聚合物層之該第四開口内,·以 一打線導線,連接該接塾上之該金屬片。 4!.如申請專利範圍第4〇衡述之電子 層包括一聚亞醯胺。 傅〃 T縣口物 42.如申,專利範圍f 4〇項所述之電子元件結構,盆中該保護層 ^在_子凡件結構中最頂層 項所述之電子元件結構^中該聚合物 44.^ίΖ圍|化1項所述之電子元件結構,其中該第一黏 著二二=述之電子元件結構’其中該第二黏 47. —種電子元件結構,包括: 一矽基底; 65 1308785 9隼7淨·祕£替換頁丨 一半導體元件,位在該矽基底上, __ 一第一薄膜金屬層,位在該矽基底及該半導體元件上方. -介電層,位在該第-薄膜金屬層上,該介電層包括多個 一開口暴露出該第一薄膜金屬層; * 第黏著/阻障層,位在該介電層上及該些第一開口内; -第二薄膜金屬層’位在該第-黏著/阻障層上及該些第 口=該i二薄膜金屬層包括—電鍍銅層,該電鑛_位在該第 一黏者/阻障層上及該些第一開口内; 護ΐ,位在該德底上方及該第二_金屬層上,該保 膜it開口、―弟二開口及―第四開σ暴露出該第二薄 膜金屬層之一第一接點、一第二接點及一接墊; -聚合⑽,錄聰制上,棘合 撫第:脑,嫩物層厚度大 接點—ίί'ίί 在該聚合物層上’連接該第—接點及該第二 S點ίίΐ ίϊΐ—第二黏著/轉層位在該聚合物層、該第 雷料ΐϊί 種子層位在該第二黏著/阻障層上,一 電鑛金屬層錄該妍層上,該賴金屬層包括電鐘銅; 一金屬層’位在該接墊上及該保護層上方;以及 一打線導線,連接該接墊上之該金屬層。 4==。47項所述之電子元件結構’其中該聚合物 衡述之1子树賴,其巾該保護層 % μ ^電子m射最頂層之氮视合物層。 項所述之電子元件結構,其中該聚合物 51著 撕叙—,其-第一黏 52.如申料職邮47術狀電子元件結構,其中該第 -黏 66 13087857. == The electronic component structure of the description 'where the poly is 8. The electronic component structure of the second C is the first-turn 9. The electronic component barrier layer as described in the scope of claim 1 includes A metal layer containing titanium. Weighing /, 〒 ^ sticky / 10. If you apply for the special 娜 帛丨 帛丨 骑 电子 / / / / / / / / / / / / / / / / / An electronic component structure, comprising: an electrostatic discharge protection circuit component of a substrate; a semiconductor component 'located on the germanium substrate; a brother's special film metal layer, located on the stone substrate And the upper surface of the semiconductor element is located on the first thief metal layer, the dielectric layer includes a plurality of first open violent roads out of the thin film metal layer; second, = adhesive/barrier layer, located in the On the dielectric layer and in the first openings; (10) a film metal layer 'on the first adhesion/transfer layer and the second second opening-adhesive; the barrier copper layer 'the electric clock copper layer is at the first Reading the position above the secret substrate and the second thin film metal layer, the opening, the third opening and the fourth opening exposing the second thin, 59 1308785 film gold joint, - second Contact and pad; —-- a door/gate: 1乂2 on the protective layer 'the polymer layer - the fifth opening and the ϋΐ ΐ ΐ contact and the second contact, the polymer layer The thickness of the polymer layer is connected to the first layer, and the second portion of the second layer is in the second layer. On / barrier layer, a seed layer Μ on which the metal layer comprises copper electroplating Wei; ifί i a bit above the ground and the protective layer Sook; and a block / contact connected to the metal layer of the rainbow. In the electronic component structure of item 11, the towel further comprises a nickel layer on the plating metal. The secluded octagonal and 匕祜 13. As the scope of the patent application, the u layer includes - polyamidamine. The electronic component structure of the U-th aspect, wherein the polymer, H t is formed by the sub-tree to eject the topmost layer of nitrogen-wet. • The layer package application ^ ^ surrounded by the ^Tuo said the power of the county structure, correct the polymer% === two items _ sub-element structure, wherein the first viscous 17. As described in the scope of claim u丰丰姓# — The / barrier layer consists of a metal layer containing titanium. The electronic component structure of the eleventh item, wherein the first adhesive/barrier layer comprises a metal layer containing titanium. Fu/, A 汲弟一粘 19. An electronic component structure, comprising: a germanium substrate; a semiconductor component 'positioned on the germanium substrate; = Ϊ Ϊ bottom and the semiconductor component; (4) a metal layer, the dielectric layer comprising a plurality of 1308785 openings exposing the first thin film metal layer; a first adhesion/barrier layer on the dielectric layer and the first openings a second thin film metal layer is disposed on the first adhesive/barrier layer and the first openings, the second thin film metal layer includes an electroplated copper layer, and the electro-copper layer is located on the first adhesive layer And a barrier layer on the first opening; a second protective layer positioned above the germanium substrate and the second thin film metal layer, a second opening, a third opening and a The fourth opening exposes a first contact, a second contact and a pad of the second thin film metal layer; a polymer layer is disposed on the protective layer, and the fifth opening of the polymer layer And a sixth opening exposing the first contact and the second contact, the polymer layer being thicker than the dielectric layer a metal line, located on the polymer layer, connecting the first contact and the second contact, the metal line including a second adhesive/barrier layer in the polymer layer, And a second sub-layer on the second adhesion/barrier layer, an electro-mineral metal layer on the hetero-sublayer, the electroplated metal layer comprising electro-mineral gold; a metal layer, located in And above the protective layer; and the wire bonding wire 'connects the metal layer on the interface. 20. The electronic component structure of claim 19, wherein the layer comprises a polymethyleneamine. The object 2L is as claimed in the patent specification 帛19, and the protection is in the topmost layer of the Nitrogen compound in the structure of the electronic component.曰A layer, such as the electronic component structure described in the polymer item, wherein the first-viscosity 24 is as described in claim 19 of the electronic component cover/barrier layer Includes a metal layer containing titanium. Electronic components,,,. In the case of the electronic helium structure described in claim 19, the/barrier layer comprises a metal layer containing titanium. "弟一粘61 1308785 26·—Electronic component structure, including: year, month, and day correction machine page; a static discharge protection circuit component, a first semiconductor component, and a second semiconductor component a first thin film metal layer positioned on the germanium substrate, the electrostatic discharge protection circuit component, the first semiconductor component and the second semiconductor component; a dielectric layer positioned on the first thin film metal The dielectric layer includes a plurality of first openings exposing the first thin film metal layer; an adhesive/barrier layer on the dielectric layer and the first openings; a second film a metal layer on the first adhesion/barrier layer and the first openings, the second thin film metal layer comprising an electroplated copper layer on the first adhesion/barrier layer and The first opening; a protective layer on the base of the stone and the second thin film metal layer; a polymer layer on the protective layer, and a second opening in the polymer layer , a third opening and a fourth opening storm Exposing a first contact, a second contact, and a pad of the second thin film metal layer, wherein the polymer layer has a thickness greater than the pad of the dielectric layer to connect the electrostatic discharge protection circuit component; X a metal line Positioning on the polymer layer, the second opening and the third opening, connecting the first contact and the second contact, the metal circuit comprising a / φ barrier layer in the polymer layer, the a first contact and a second contact, wherein the seed resides on the second adhesive/barrier layer, a plating metal layer is on the seed layer, and the metallization layer comprises electroplated copper, the first semiconductor component The second semiconductor component is connected to the metal layer via the metal, and is disposed on the pad and the fourth opening of the polymer layer; and the tin-containing bump is connected to the metal layer on the pad. Wherein the polymer 27. The electronic component structure of claim 26, wherein the layer comprises a polymethyleneamine. 28. The electronic component structure as claimed in claim 26, wherein the protective layer 62 1308785 is a compound layer. ®5: Replace J F 29. If the scope of application is 26th = trace compound layer. The "s~*- layer includes a phenylcyclobutene. An electronic component structure in which the polymer 30. The barrier layer as described in claim 26 includes a nitride button. . A 70-piece structure in which the first paste 31. The flip-flop/26 barrier layer/metal barrier layer includes a metal layer containing titanium. ',, ", /, the first stick. 32. The barrier layer as described in claim 26 includes a metal layer containing titanium. ', σ, /, the second adhesive 33. An electronic component structure, comprising: a substrate; a body building member, a first semiconductor component and a second semiconductor component, the viewing line element The electrical layer is located on the first-thin metal layer, the opening of the dielectric layer exposing the first thin film metal layer; the/obstacle layer is located on the dielectric layer and the first openings; a thin film metal layer 'on the first adhesion/barrier layer and the second openings to the - Γί·-thin metal layer comprises an electric ore copper layer, the electroplated copper layer is located at the first adhesion layer And on the barrier layer and in the first openings; the younger-protective layer is located above the base of the stone and on the second thin film metal layer; a polymer layer is located on the protective layer, the polymer a second opening, a third opening and a fourth opening in the layer exposing a first contact, a second contact and a pad of the second thin film metal layer. The polymer layer has a thickness greater than the a thickness of the dielectric layer, the pad is connected to the electrostatic discharge protection circuit component; a metal circuit is disposed on the polymer layer, the first Connecting the first contact and the second contact in the opening and the third opening, the metal line includes a second adhesive/barrier layer on the polymer layer, the first contact and the second connection Point, a sub-layer 63 _v 1308785 1 genus is at the seed h, the second semi-conductor is connected to the conductor element via the metal line, and the genus layer is on the pad and the polymer layer In the fourth opening; the metal layer on the pad is connected by a wire bonding wire'. 34·layer 帛33 tear-shaped 1 sub-stock storage, the polymer 35 package tear-shaped electron element storage, the protective layer 36 layer, such as the package of the solution of the traitary storage, the material 37. The electronic component structure, the + the first spliced electronic component structure, wherein the (four) 39 =========================================================================== a substrate; an electrostatic discharge protection circuit component, a first semiconductor element and a two-body component on the germanium substrate; a == thin film metal layer 'located on the stone substrate, the electrostatic discharge protection circuit component, the a first semiconductor element and the second semiconductor element; a dielectric layer on the first thin film metal layer 'the dielectric opening exposes the first thin film metal layer; a first, landing/barrier layer Positioning on the dielectric layer and the first openings; a first thin film metal layer on the first adhesive/barrier layer and the first openings, the second thin film metal layer including An electric ore copper layer, the copper layer of the electric bond is on the adhesive layer/block layer of the 64 1308785 and the In the opening; a replacement page-protective layer is located above the base of the stone and on the second thin film metal layer; a polymer layer is located on the protective layer, and one of the polymer layers is θ' - the third opening And the thin opening exposes the second metal layer = a point, a second contact, and a pad. The polymer layer has a thickness greater than the dielectric sound. The pad is connected to the ESD protection circuit component; a metal line on the polymer layer, the second opening and the inside, connecting the first contact and the second contact, the metal line _: H barrier layer in the polymer layer On the first contact and the second contact, on the second adhesive/barrier layer, an electro-mineral metal layer is on the seed layer, == copper 'the first semiconductor component via the metal And a metal layer is located on the pad and in the fourth opening of the polymer layer, and the metal piece on the interface is connected by a wire. 4! The electron layer of the fourth paragraph of the patent application includes a polyamine. Fu 〃 Txiankou 42. The electronic component structure described in the patent scope f 4〇, the protective layer in the basin The electronic component structure of the first embodiment, wherein the first adhesive member has an electronic component structure, wherein the second adhesive component is an electronic component structure, comprising: a substrate; 65 1308785 9隼7净·秘£ Replacement page 半导体 a semiconductor component, located on the germanium substrate, __ a first thin film metal layer, located above the germanium substrate and the semiconductor component. - dielectric layer, located in the On the first-thin metal layer, the dielectric layer includes a plurality of openings to expose the first thin film metal layer; * a first adhesion/barrier layer on the dielectric layer and the first openings; The second thin film metal layer is located on the first adhesion/barrier layer and the first opening=the second two thin film metal layer comprises an electroplated copper layer, and the electric ore is located on the first adhesive/barrier layer And the first openings; the shin guard is located above the bottom of the bottom and the second metal layer, the film is open - the second opening and the "fourth opening σ expose one of the first contact, the second contact and the first pad of the second thin film metal layer; - the polymerization (10), the recording system, the spine: the brain , the thickness of the tender layer is large - ίί'ίί 'connects the first contact and the second S point ίίΐ ί ϊΐ on the polymer layer - the second adhesive/transfer layer is in the polymer layer, the first mine The seed layer is on the second adhesive/barrier layer, and an electro-mineral metal layer is recorded on the germanium layer, the metal layer includes an electric clock copper; a metal layer is located on the pad and above the protective layer And a wire conductor that connects the metal layer on the pad. 4==. The electronic component structure of item 47, wherein the polymer is dictated by a sub-tree of the protective layer, and the protective layer % μ ^ electrons emits the topmost nitrogen-based layer of the electron. The electronic component structure described in the item, wherein the polymer 51 is torn--the first-adhesive 52. For example, the application of the electronic component structure, wherein the first-viscosity 66 1308785 其中該第二黏 著/阻障層包括含鈦之金屬層。 53. 如申請專利範圍第47項所述之電子元件結構 著/阻障層包括含鈦之金屬層。 54. 一種電子元件結構,包括: 一矽基底; 一^帛導體元件及—第二半導體元件,位在該石夕基底上; 镇-臈金屬層,位在該雜底、該第—半導體元件及該 乐一牛導體元件上方;Wherein the second adhesion/barrier layer comprises a metal layer comprising titanium. 53. The electronic component structure/barrier layer of claim 47, comprising a metal layer comprising titanium. 54. An electronic component structure comprising: a germanium substrate; a germanium conductor component and a second semiconductor component on the ground substrate; a town-germanium metal layer, the hetero semiconductor, the first semiconductor component And above the conductor element of the Leyi cattle; -1電層,位在該第—薄膜金屬層上,該介電層包括多個第 開口暴露出該第一薄膜金屬層; 一第一,著/阻障層,位在該介電層上及該些第一開口内; 第二薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 ,—該第—薄膜金屬層包括—電鍍銅層,該電鑛銅層位在該第 一黏者/阻障層上及該些第一開口内; 一保護層,位在該矽基底上方及該第二薄膜金屬層上; 一,合物層,位在該保護層上,該聚合物層内之一第二開口 及-第三開π暴露出該第二薄膜金屬層之—第—接點及一第二接 點’該聚合物層厚度大於該介電層之厚度; 厂金屬線路,位在該聚合物層内、該第二開口及該第三開口 内連接該第一接點及該第二接點,該金屬線路包括一第二黏著/ 阻障層位在該保護層上方、該第一接點及該第二接點上,一種子 層位在該第二黏著/阻障層上,一電鍍金屬層位在該種子層上,該 電鍍金屬層包括電鍍銅,該金屬線路連接經由該第 三開口連接該第-半導體元件及該第二半導體元 之一第四開口暴露出該金屬線路之一第三接點;以及 一金屬凸塊,連接該第三接點。 55. 如申請專利範圍第54項所述之電子元件結構,其中該聚合物 層包括一聚亞醯胺。 56. 如申請專利範’ Μ項所述之電子元件結構,其巾該保護層 67 1308785 月7·日紙替換頁 57.t括申構中最頂層之氮矽化合物層。L—— 層包^-苯結構’其中該聚合物 "著如:項所述之電子元件結構,其中該 59.著如述之電子元件結構,其_ 6。.著如:==二:述之電子元件結構’其中該第二黏a first electrical layer comprising a plurality of first openings exposing the first thin film metal layer; a first, a barrier layer on the dielectric layer And the first opening; the second thin film metal layer is disposed on the first adhesive/barrier layer and the first openings, the first thin film metal layer comprises an electroplated copper layer, and the electrowinning copper layer Positioning on the first adhesive/barrier layer and the first openings; a protective layer on the germanium substrate and on the second thin film metal layer; a layer of the layer on the protective layer a second opening in the polymer layer and a third opening π exposing a first contact and a second contact of the second thin film metal layer. The polymer layer has a thickness greater than the dielectric layer. a metal line in the polymer layer, the second opening and the third opening connecting the first contact and the second contact, the metal line including a second adhesive/barrier layer Above the protective layer, the first contact and the second contact, a sub-layer is on the second adhesive/barrier layer, and a plating a platoon layer on the seed layer, the plated metal layer comprising electroplated copper, the metal line connection connecting the first semiconductor element and the fourth semiconductor element via the third opening, the fourth opening exposing one of the metal lines a third contact; and a metal bump connecting the third contact. 55. The electronic component structure of claim 54, wherein the polymer layer comprises a polymethyleneamine. 56. If the electronic component structure described in the patent application is applied, the protective layer of the protective layer 67 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 L - a layer of a ^-benzene structure, wherein the polymer " is as described in the electronic component structure, wherein the electronic component structure is as described, _ 6. Such as: == two: the description of the electronic component structure 'where the second sticky 61. —種電子元件結構,包括: 一石夕基底; 件及—第二半導體树,位在該雜底上; 第_车轉4^金屬層’位在該魏底、該第—半導體元件及該 乐一千等體70件上方; 一介電層,位在該第一薄膜金屬層上 一開口暴露出該第一薄膜金屬層; 該介電層包括多個第 =,二,著/阻障層,位在該介電層上及該些第—開口内; 第了薄,金屬層’位在該第—黏著/阻障層上及該些第-開 ,—該第—薄膜金屬層包括—電鑛銅層,該電錢銅層位在該第 一黏者/阻障層上及該些第一開口内; 一保護層,位在該矽基底上方及該第二薄膜金屬層上; 一,合物層,位在該保護層上,該聚合物層内之一第二開口 及一,二開口暴露出該第二薄膜金屬層之一第一接點及一第二接 點’該聚合物層厚度大於該介電層之厚度; 一金屬線路,位在該聚合物層内、該第二開口及該第三開口 内,連接該第一接點及該第二接點,該金屬線路包括一第二黏著/ 阻障層位在該保護層上方、該第一接點及該第二接點上,一種子 ,位在該第二黏著/阻障層上,一電鍍金屬層位在該種子層上,該 電鍍金屬層包括電鍍金,該金屬線路連接經由該第二開口及該^ 68 1308785 替換ij -1 j— y-l f開:連接該第-半魏元件 出該金屬線路之-第及 一打線導線,連接該第三接點。 13=/1 ^㈣轉,射該聚合物 層包^-苯 n%6。1 MW «錄 65ί 項崎之電托件結構,射該第一黏 述之電子元件結構,其中該第-黏 第61項崎之電子元件結構,射該第二黏 者/阻p早層包括含鈦之金屬層。 68. —種電子元件結構,包括: 一矽基底; =f電放魏護線路元件及—半導體元件,位在該雜底上; 件及;:位在該絲底、該靜電放電保護線路元 一μ一ΪΪ層’位在該第—薄膜金屬層上,該介電層包括多個第 一開口暴路出該第一薄膜金屬層; 二^=,著/阻障層,位在該介電層上及該些第一開口内; 口 第了薄膜金屬層,位在該第一黏著/阻障層上及該些第一開 ,該第一薄膜金屬層包括一電鍍銅層,該電鍍銅層位在該第 一黏著/阻障層上及該些第一開口内; 一保護層,位在該矽基底上方及該第二薄膜金屬層上; 了,合物層,位在該保護層上,該聚合物層内之一第二開口 及第二開口暴露出該第二薄膜金屬層之一第一接點及一第二接 69 1308785 點,該聚合物層厚度大於該介電層之厚度; 月替換頁 一金屬線路,仿a諸取人k β^ .. 1 — -* ^ Θ 乂了 , : 內,、鱼ίίί路’位在該聚合物層a、該第二開口及該第三開口 阻障層位在該保第;,點接括-第亡: 三開口連接線路連接經由該第二開口及該第 声i 魏元件及該半導體元件,該聚合物 暴露出該金屬線路之-第三接點;以及 打線導線’連接該第三接點。 70ΐ括申m範圍技第68項所述之電子元件結構,其中該保護層 7! β错構巾最·之氮视合物層。 項崎之奸桃轉,料該聚合物 72著賴叙奸―其帽第-黏 第68項所述之電子元件結構,其巾該第一黏 者/阻障層包括含鈦之金屬層。 %‘如申請專利範圍第沾項所述之電子 著/阻障層包括含鈦之金屬層。 偁八甲娜-黏 75. —種電子元件結構,包括: 一發基底; 二靜電放祕護線路元件及—半導體元件,位在财基底上, 件及;以:位在該雜底、該靜電放電保護線路元 -^電層’位在該第-薄膜金屬層上,該介電層包 一開口暴露出該第一薄膜金屬層; 口弟 一第一黏著/阻障層,位在該介電層上及該些第一開口内,· 1308785 _ 户^ 擎’月7·曰!!替換貢丨 一第^薄予金屬層,位在該第一黏著/阻障層上^: 口,丄該第一薄膜金屬層包括一電錢銅層,該電鑛銅層位在該第 一黏者/阻障層上及該些第一開口内; -保護層’位在财基底上方及該第二薄膜金屬層上; 二,合物層’位在該保護層上,該聚合物層内之―第二開口 i 一 ΐίϋίίί該第二薄膜金屬層之—第—接點及一第二接 點,該聚合物層厚度大於該介電層之厚度; 内:ϋί路&位在該聚合物層内、該第二開口及該第三開口 二tiii點及該第二接點’該金屬線路包括-第二黏著/ 二:ΐίί 3層七方、該第一接點及該第二接點上,-種子 曰位在該第一黏者/阻JI早層上,一電錢金屬声 電,該金屬線路連“由該ί二“及該ϊ 保護線路元件及該半導體元件,該聚合物 ΪΓ暴露出該金屬線路之一第三接點;以及 一金屬凸塊,連接該第三接點。 76層7=^。75項所述之電子元件結構,其中該聚合物 子元件結構,射該保護層 件射最彻之氮魏合物層。 懈^觸,射該聚合物 項所述之電子元件結構,其中該第一黏 述之電子元件結構,其中該第-黏 81·如申請專利範圍第75項所述之電子 著/阻障層包括含鈦之金屬層狀電子讀結構,其中該第二黏 82. —種積體電路晶片結構,包括: 一石夕基底; 7161. An electronic component structure, comprising: a stone substrate; a device and a second semiconductor tree positioned on the hetero-substrate; a first metal layer of the metal layer is located at the germanium, the first semiconductor component and The upper layer of the first thin film metal layer is exposed on the first thin film metal layer to expose the first thin film metal layer; the dielectric layer includes a plurality of =, two, and / resistance a barrier layer on the dielectric layer and the first openings; the first thin layer, the metal layer 'on the first adhesion/barrier layer and the first-opening, the first-thick metal layer Including an electric ore copper layer, the electric copper layer is located on the first adhesive/barrier layer and the first openings; a protective layer is disposed above the germanium substrate and the second thin film metal layer a layer of the layer on the protective layer, a second opening and one or two openings in the polymer layer exposing a first contact and a second contact of the second thin film metal layer The thickness of the polymer layer is greater than the thickness of the dielectric layer; a metal line located in the polymer layer, the second opening and the third Connecting the first contact and the second contact, the metal line includes a second adhesive/barrier layer above the protective layer, the first contact and the second contact, a sub-portion Positioned on the second adhesion/barrier layer, a plated metal layer is disposed on the seed layer, the plated metal layer comprises electroplated gold, and the metal line connection is replaced by the second opening and the ^ 168 1308785 ij -1 j — yl f open: connect the first-half Wei component to the first and second wire of the metal line and connect the third contact. 13=/1 ^(4) turn, shoot the polymer layer package ^-benzene n%6. 1 MW « Record 65ί Kokusaki's electric carrier structure, shoot the first component of the electronic component structure, of which the first sticky The 61st item of the electronic component structure of Saki, the early layer of the second adhesive/resistive p includes a metal layer containing titanium. 68. An electronic component structure, comprising: a germanium substrate; a = f power amplifier circuit component and a semiconductor component, located on the heterosole; and a device located at the bottom of the wire, the electrostatic discharge protection circuit element a ΪΪ layer is disposed on the first thin film metal layer, the dielectric layer includes a plurality of first openings violently exiting the first thin film metal layer; and a ^/, a barrier layer is located at the dielectric layer And a first thin film metal layer on the first adhesive/barrier layer and the first openings, the first thin film metal layer comprising an electroplated copper layer, the electroplating a layer of copper on the first adhesion/barrier layer and the first openings; a protective layer positioned over the substrate and on the second thin film metal layer; a second opening and a second opening in the polymer layer exposing a first contact of the second thin film metal layer and a second contact 69 1308785, the polymer layer having a thickness greater than the dielectric layer The thickness of the month; the replacement page of a metal line, imitation a take people k β^ .. 1 — -* ^ Θ 乂, :, , the fish ίίίί 'in the polymer layer a, the second opening and the third opening barrier layer are in the Baoding; the point is connected - the first: the three opening connection line is connected through the second opening The first component and the semiconductor component, the polymer exposing a third contact of the metal line; and the wire bonding wire 'connecting the third contact. 70. The electronic component structure described in claim 68, wherein the protective layer is the most nitrogen-based layer of the 7! In the case of Kosaki's trait, the polymer 72 is based on the electronic component structure described in Item 68. The first adhesive/barrier layer comprises a metal layer containing titanium. % 'The electronic/barrier layer as described in the scope of the patent application includes a metal layer containing titanium.偁八甲娜-粘75. A kind of electronic component structure, including: a hair base; two electrostatic discharge circuit components and semiconductor components, located on the financial substrate, and; The ESD protection circuit element is disposed on the first-thin metal layer, the dielectric layer enclosing an opening to expose the first thin film metal layer; and the first adhesion/barrier layer is located in the On the dielectric layer and in the first openings, 1308785 _ household ^ 擎 '月7·曰!! Replace the Gonggao one thin metal layer, located on the first adhesion/barrier layer ^: The first thin film metal layer includes a layer of a copper oxide layer on the first adhesive/barrier layer and the first openings; the protective layer is located above the financial substrate and On the second thin film metal layer; the second layer 'is located on the protective layer, and the second opening i in the polymer layer is the first contact and the second a thickness of the polymer layer is greater than a thickness of the dielectric layer; an inner: ϋί路 & is located in the polymer layer, the second opening The third opening two tiii point and the second contact 'the metal line includes - the second adhesive / two: ΐ ί ί 3 three-layer seven squares, the first contact and the second contact, the seed 曰 position On the early layer of the first viscous/resistance JI, a metal electric sound electric current, the metal circuit is connected to the circuit component and the semiconductor component by the ί2, and the polymer ΪΓ exposes one of the metal wires a third contact; and a metal bump connecting the third contact. 76 layers 7=^. The electronic component structure of item 75, wherein the polymer sub-element structure is directed to the layer of the most nitrogen-digested layer of the protective layer. Touching the electronic component structure of the polymer article, wherein the first spliced electronic component structure, wherein the first viscous 81 is an electronic/barrier layer as described in claim 75 The invention comprises a titanium-containing metal layered electronic read structure, wherein the second adhesive 82. is an integrated circuit wafer structure, comprising: a stone base substrate; 71 一,=黏著/阻障層,位在該介電層上及該些第_開口内. -=薄膜金屬層’位在該第—黏著/阻障層上及該三a bonding/barrier layer on the dielectric layer and the first openings. - = a thin film metal layer on the first adhesion/barrier layer and the third 1308785 口 ’ “苐二薄臈金屬層包括一電鍍銅層,該電鍍銅層彳| $ -黏著/阻障層上及該些第—開口内; 織位在糾 靜:ί護ϊ ’ ί在該石夕基底上方及該第二薄膜金屬層上,該保 濩層内=一弟二開口暴露出該第二薄膜金屬層之一第一接點; ^ 金屬線路,位在該第二開口内及該保護層上方,該第 一3包括—第二黏著/阻障層位在該保護層上方、該第二開 口^及該弟-接點上,—第—種子層位在該第二黏著/阻障層上, 一第一電鑛金屬層位在該第一種子層上; 二第一聚合物層,位在該第一金屬線路上,該聚合物層内之 :第二開二暴露出該第—金屬線路之一第二接點,該聚合物層厚 度大於該介電層之厚度; 々一一第一金屬線路,位在該第一聚合物層上、該第二接點及該 第二開口内’,該第二金屬線路包括_第三黏著/阻障層位在該聚合 物層上及該第二接點上,—第二種子層位在該第三黏著/阻障層 上,一,二電鍍金屬層位在該第二種子層上;以及 一第二聚合物層,位在該第二金屬線路上。 83.如申sf專利細第82項所述之積體電路晶片結構,其中該第 一聚合物層包括一聚亞醯胺。 8屯如申請專利範圍帛u項所述之積體電路晶片結構,其中該保 護層包括在該電子元件結構巾最頂層之氮雜合物層。 85. 如申晴專利|&圍第82項所述之積體電路晶片結構,其中該第 一聚合物層包括一苯基環丁稀。 86. 如申凊專利蝴第82項所述之積體電路晶片結構,其中該第 72 1308785 一黏著/阻障層包括一氮化鈕。。 卞月 87. 如申請專利範圍第82項所述之積體 一黏著/阻障層包括含鈦之金屬層。 曰曰片…構,其中該第 88. 如申請專利範圍第82項所述之積 二黏著/阻障層包括含鈦之金屬層。 曰曰片、〜構,其中該第 89. 如申請專利範圍第82項所述之積體電路 二聚合物層包括一聚亞醯胺。 片、、、°構,其中該第 90. 如申請專利範圍第82項所述之積 二聚合物層包括一苯基環丁烯。 路曰曰片…構,其中該第 91. 如申請專利範圍第82項所述之積 1 三黏著/阻障層包括含鈦之金屬層。 曰曰片、,、。構,其中該第 92. —種積體電路晶片結構,包括: 一梦基底; 一半導體元件,位在該矽基底上; 一第-薄膜金制’位在該錄底及該半導 一介電層,位在該第一薄膜金屬層上, 一開口暴露出該第-賴金屬層;n層包括多個第 -第-黏著/阻障層’位在該介電層上及該些第—開 -第金屬層’位在該第—黏著/阻障層上及該些二 該第二薄膜金屬層包括—電鍍銅層,該電鍍銅層位在該第 一黏者/阻障層上及該些第一開口内; 弟 謹β一保護層,位在該祕底上方及該第二薄膜金屬層上,該保 a内之一第一開口暴露出該弟二薄膜金屬層之一第一接點; ——第一金屬線路,位在該第二開口内及該保護層上方,該第 —金屬線路包括一第二黏著/阻障層位在該保護層上方、該第二開 一内及該第一接點上,一第一種子層位在該第二黏著/阻障層上, 一第一電鍍金屬層位在該第一種子層上; 一…=第一聚合物層,位在該第一金屬線路上,該聚合物層内之 第二開口暴露出該第一金屬線路之一第二接點,該聚合物層厚 73 ,其中該第1308785 口' '苐2 thin tantalum metal layer includes an electroplated copper layer, the electroplated copper layer 彳 | $-adhesive/barrier layer and the first-opening; the weaving position is calming: ί ϊ ' ί Above the Shishi base and the second thin film metal layer, the first layer of the second thin film metal layer is exposed in the protective layer; the metal line is located in the second opening And above the protective layer, the first 3 includes a second adhesion/barrier layer above the protective layer, the second opening ^ and the brother-contact, and the first seed layer is at the second adhesion On the barrier layer, a first electro-mineral metal layer is on the first seed layer; two first polymer layers are located on the first metal line, and the second layer is exposed in the polymer layer a second contact of the first metal line, the polymer layer has a thickness greater than a thickness of the dielectric layer; a first metal line, located on the first polymer layer, the second contact In the second opening, the second metal line includes a third adhesion/barrier layer on the polymer layer and the second contact - a second seed layer on the third adhesion/barrier layer, one or two electroplated metal layers on the second seed layer; and a second polymer layer on the second metal line. The integrated circuit wafer structure as described in claim 82, wherein the first polymer layer comprises a polyamine. 8) The integrated circuit wafer structure as described in the patent application scope, Wherein the protective layer comprises a nitrogen-containing layer at the topmost layer of the electronic component structure. 85. The integrated circuit wafer structure of claim 28, wherein the first polymer layer comprises 86. The integrated circuit wafer structure of claim 82, wherein the adhesive layer/block layer comprises a nitride button. 卞月87. The integral-adhesive/barrier layer described in claim 82 includes a metal layer containing titanium. The ruthenium sheet structure, wherein the ninth aspect is as described in claim 82. The layer comprises a metal layer containing titanium. The ruthenium sheet, the 构 structure, wherein the 89th. The second polymer layer of the integrated circuit according to Item 82 includes a poly-methyleneamine. The sheet, the structure of the film, wherein the product layer of the product of claim 82 is included in claim 82. Phenylcyclobutene. Roller sheet structure, wherein the 91st. As described in claim 82, the first adhesion/barrier layer comprises a metal layer containing titanium. The ninth embodiment of the integrated circuit chip structure includes: a dream substrate; a semiconductor component on the enamel substrate; a first-thin film gold layer at the bottom of the recording and the semiconductor An electrical layer on the first thin film metal layer, an opening exposing the first-lying metal layer; the n-layer including a plurality of first-adhesive/barrier layers on the dielectric layer and the The on-metal layer is located on the first adhesion/barrier layer and the two second thin film metal layers comprise an electroplated copper layer on the first adhesion/barrier layer And the first openings; a β-protective layer, located above the secret substrate and on the second thin film metal layer, one of the protective layers The first opening exposes a first contact of the second thin film metal layer; a first metal line located in the second opening and above the protective layer, the first metal line including a second adhesion/resistance a barrier layer is located above the protective layer, in the second opening and the first contact, a first seed layer is on the second adhesion/barrier layer, and a first plating metal layer is located in the first layer a sub-layer; a...=first polymer layer on the first metal line, the second opening in the polymer layer exposing a second contact of the first metal line, the polymer layer Thick 73, of which the first t ,其中該第 ,其中該第 ,其中該第 ,其中該第 1308785 度大於該介電層之厚度; 一 一、路,位在該第一聚合物層上、該第二接點及該 f ΐ/ί第二金屬線路包括—第三黏著/阻障層位在該聚合 物層上,該第二接點上’―第二種子層位在該第三黏著/阻障層 亡’-第二電鍍金屬層位在該第二種子層上,該第二電鑛層 包括一電鍍金;以及 一第二聚合物層,位在該第二金屬線路上。 93.如申請專利範圍第92項所述之積體電路晶片結構 一聚合物層包括一聚亞酿胺。 94:如申请專利範圍第92項所述之積體電路晶片結構, 其中該保 護層^括在該電子元件結構巾最職之⑽化合物層。 95·如申專利範圍帛92項所述之積體電路晶片結構,其中該第 一聚合物層包括一苯基環丁烯。 96. 如申请專利範圍第92項所述之積體電路晶片結構 一黏著/阻障層包括一氮化鈕。。 θ ^ 97. 如申請專概圍第92項職之碰電路晶片結構 一黏著/阻障層包括含鈦之金屬層。 98. 如申請專利範圍第92項所述之積體電路晶片結構 二黏著/阻障層包括含鈦之金屬層。 99·如申請專利顧第92項所述之積體電路晶片結構 -一^^合物層包括一聚亞酸胺。 100妨如申請專利範圍第92項所述之積體電路晶片結構,其中該 第一聚合物層包括一苯基環丁烯。 101妨如申請專利範圍第92項所述之積體電路晶片結構,其中該 第三黏著/阻障層包括含鈦之金屬層。 102· —種積體電路晶片結構,包括: 一矽基底; 一半導體元件,位在該矽基底上; 一第一薄膜金屬層,位在該矽基底及該半導體元件上方; 74 1 1308785 ;丨電曰,位在該第一薄膜金屬層上,該介^層包括多個·第 一開口暴露出該第一薄膜金屬層; =i=f著/阻障層,位在該介電層上及該些第一開口内; 丁第,薄,金屬層,位在該第一黏著/阻障層上及該些第一開 口該第—薄膜金屬層包括—電鍍銅層,該電鍍銅層位在該第 一黏者/阻障層上及該些第一開口内; $爲:保護ΐ,位在該梦基底上方及該第二薄膜金屬層上,該保 覆層内之-第二開口暴露出該第二薄膜金屬層之—第一接點; -線路,位在該第二開口内及該保護層上方,該第 第二黏著/阻障層位在該保護層上方、該第二開 點上,—f—種子層位在該第二崎/阻障層上, -電鑛銅Γ屬層位在該第一種子層上’該第一電鐘金屬層包括 -第二位在該第—金屬線路上,該聚合物層内之 度=介金屬線路之一第二接點,該聚合物層厚 第-ii該第—聚合物層上、該第二接點及該 黏著/阻障層位在該聚合 卜上,一第一種子層位在該第三黏著/阻障層 包括-電鍍銅;以及 -上該第一電鏟金屬層 一第二聚合物層,位在該第二金屬線路上。 103^如=1^,102項所述之積體電路晶片結構,且中 該第一聚合物層包括一聚亞醯胺。 r 106.如申請專利範圍第102項所述之積體電路晶片結構,其中 75 1308785 97Γ 7:一i8 ·,', 年月日修正替換則 該第一黏著/阻障層包括一氮化组。。 107. 如申請專利範圍第102項所述之積體電路晶片結構,其中 該第一黏著/阻障層包括含鈦之金屬層。 108. 如申請專利範圍第102項所述之積體電路晶片結構,其中 該第二黏著/阻障層包括含鈦之金屬層。 109. 如申請專利範圍第102項所述之積體電路晶片結構,其中 該第二聚合物層包括一聚亞醯胺。 110. 如申請專利範圍第102項所述之積體電路晶片結構,其中 該第二聚合物層包括一苯基環丁烯。 111. 如申請專利範圍第102項所述之積體電路晶片結構,其中 該第三黏著/阻障層包括含鈦之金屬層。 t 76 1308785And wherein the first, wherein the first, wherein the first portion is greater than the thickness of the dielectric layer; the one, the road, the first polymer layer, the second contact, and the f ΐ/ί second metal line includes a third adhesion/barrier layer on the polymer layer, and a second seed layer on the second contact layer in the third adhesion/barrier layer A second electroplated metal layer is on the second seed layer, the second electrowinning layer includes an electroplated gold, and a second polymer layer is disposed on the second metal line. 93. The integrated circuit wafer structure of claim 92, wherein the polymer layer comprises a poly-branched amine. 94. The integrated circuit wafer structure of claim 92, wherein the protective layer is included in the (10) compound layer of the electronic component structure. 95. The integrated circuit wafer structure of claim 92, wherein the first polymer layer comprises a phenylcyclobutene. 96. The integrated circuit wafer structure of claim 92, wherein the adhesion/barrier layer comprises a nitride button. . θ ^ 97. If the application is for the 92nd job of the circuit chip structure, the adhesion/barrier layer includes a metal layer containing titanium. 98. The integrated circuit wafer structure of claim 92, wherein the second adhesion/barrier layer comprises a metal layer comprising titanium. 99. The integrated circuit wafer structure as described in claim 92, wherein the layer comprises a polyamine. 100. The integrated circuit wafer structure of claim 92, wherein the first polymer layer comprises a phenylcyclobutene. The integrated circuit wafer structure of claim 92, wherein the third adhesion/barrier layer comprises a metal layer comprising titanium. An integrated circuit wafer structure comprising: a germanium substrate; a semiconductor component on the germanium substrate; a first thin film metal layer over the germanium substrate and the semiconductor component; 74 1 1308785; An electric raft is disposed on the first thin film metal layer, the dielectric layer includes a plurality of first openings exposing the first thin film metal layer; and =i=f/block layer on the dielectric layer And the first openings; the D, the thin, the metal layer on the first adhesion/barrier layer and the first openings of the first film metal layer comprise an electroplated copper layer, the electroplated copper layer On the first adhesive/barrier layer and the first openings; $ is: a protective layer, located above the dream substrate and on the second thin film metal layer, the second opening in the protective layer Exposing a first contact of the second thin film metal layer; - a line located in the second opening and above the protective layer, the second adhesive/barrier layer being above the protective layer, the second On the open point, the -f-seed layer is on the second/barrier layer, and the electro-potholes are in the first seed layer. 'The first electric clock metal layer comprises - the second position on the first metal line, the degree in the polymer layer = one of the second contacts of the intermetallic line, the polymer layer thickness - ii the first - On the polymer layer, the second contact and the adhesion/barrier layer are on the polymer, a first seed layer includes - electroplated copper in the third adhesion/barrier layer; and - the first A shovel metal layer and a second polymer layer are positioned on the second metal line. 103. The integrated circuit wafer structure of claim 1, wherein the first polymer layer comprises a polymethyleneamine. r 106. The integrated circuit wafer structure according to claim 102, wherein 75 1308785 97Γ 7: an i8 ·, ', the first adhesion/barrier layer comprises a nitride group . . 107. The integrated circuit wafer structure of claim 102, wherein the first adhesion/barrier layer comprises a metal layer comprising titanium. 108. The integrated circuit wafer structure of claim 102, wherein the second adhesion/barrier layer comprises a metal layer comprising titanium. 109. The integrated circuit wafer structure of claim 102, wherein the second polymer layer comprises a polymethyleneamine. 110. The integrated circuit wafer structure of claim 102, wherein the second polymer layer comprises a phenylcyclobutene. The integrated circuit wafer structure of claim 102, wherein the third adhesion/barrier layer comprises a metal layer comprising titanium. t 76 1308785 七、指定代表圖: (一) 本案指定代表圖為:第1圖。 (二) 本代表圖之元件符號簡單說明: 1 :半導體基底 2 :元件層 3 : 1C線路層 4 :保護層 80 :後護層 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式:VII. Designated representative map: (1) The representative representative of the case is: Figure 1. (2) The symbol of the symbol of this representative diagram is briefly described: 1 : Semiconductor substrate 2 : Component layer 3 : 1C wiring layer 4 : Protective layer 80 : Rear protective layer VIII. If there is a chemical formula in this case, please disclose the best indication of the characteristics of the invention. Chemical formula:
TW094133248A 2004-09-23 2005-09-23 Chip structure and method for fabricating the same TWI308785B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/534,672 US7473999B2 (en) 2005-09-23 2006-09-24 Semiconductor chip and process for forming the same
US12/273,548 US7932172B2 (en) 2005-09-23 2008-11-19 Semiconductor chip and process for forming the same

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US10/948,020 US7416971B2 (en) 2004-09-23 2004-09-23 Top layers of metal for integrated circuits
US10/962,963 US7271489B2 (en) 2003-10-15 2004-10-12 Post passivation interconnection schemes on top of the IC chips
US10/970,871 US7355282B2 (en) 2004-09-09 2004-10-22 Post passivation interconnection process and structures
US11/017,168 US7381642B2 (en) 2004-09-23 2004-12-20 Top layers of metal for integrated circuits
US11/017,145 US7372161B2 (en) 2000-10-18 2004-12-20 Post passivation interconnection schemes on top of the IC chips
US11/017,169 US8008775B2 (en) 2004-09-09 2004-12-20 Post passivation interconnection structures
US70553705P 2005-08-04 2005-08-04

Publications (2)

Publication Number Publication Date
TW200636911A TW200636911A (en) 2006-10-16
TWI308785B true TWI308785B (en) 2009-04-11

Family

ID=45071897

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094133248A TWI308785B (en) 2004-09-23 2005-09-23 Chip structure and method for fabricating the same

Country Status (1)

Country Link
TW (1) TWI308785B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9035389B2 (en) 2012-10-22 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout schemes for cascade MOS transistors
TWI779374B (en) * 2020-09-02 2022-10-01 大陸商長江存儲科技有限責任公司 Method for forming on-chip capacitor structures in semiconductor devices

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423414B (en) * 2009-02-20 2014-01-11 Nat Semiconductor Corp Integrated circuit micro-module
KR101596460B1 (en) * 2011-10-01 2016-02-26 인텔 코포레이션 On-chip capacitors and methods of assembling same
JP6698499B2 (en) * 2016-11-15 2020-05-27 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9035389B2 (en) 2012-10-22 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout schemes for cascade MOS transistors
TWI779374B (en) * 2020-09-02 2022-10-01 大陸商長江存儲科技有限責任公司 Method for forming on-chip capacitor structures in semiconductor devices

Also Published As

Publication number Publication date
TW200636911A (en) 2006-10-16

Similar Documents

Publication Publication Date Title
TWI320219B (en) Method for forming a double embossing structure
US7582556B2 (en) Circuitry component and method for forming the same
TWI312169B (en) Chip structure and process for forming the same
US7329562B2 (en) Process of producing semiconductor chip with surface interconnection at bump
US8242012B2 (en) Integrated circuit structure incorporating a conductor layer with both top surface and sidewall passivation and a method of forming the integrated circuit structure
US7274097B2 (en) Semiconductor package including redistribution pattern and method of manufacturing the same
US7355282B2 (en) Post passivation interconnection process and structures
US8163645B2 (en) Method for providing a redistribution metal layer in an integrated circuit
TWI236763B (en) High performance system-on-chip inductor using post passivation process
TWI278983B (en) A device and method for providing shielding in radio frequency integrated circuits to reduce noise coupling
US8008775B2 (en) Post passivation interconnection structures
US7816792B2 (en) Semiconductor device with conductive interconnect
JP2004503103A (en) Semiconductor inductor and manufacturing method thereof
TW200926322A (en) Semiconductor device and method of making integrated passive devices
JP2012054588A (en) Aluminium pad power bus for integrated circuit device using copper technology interconnection structure, and signal routing technology
TWI308785B (en) Chip structure and method for fabricating the same
US20080048322A1 (en) Semiconductor package including redistribution pattern and method of manufacturing the same
US20020047218A1 (en) Bond pad of semiconductor device and method of fabricating the same
US10256183B2 (en) MIMCAP structure in a semiconductor device package
TWI331788B (en) Chip structure and method for fabricating the same
JP2024500407A (en) FET structure with copper pillars or bumps directly above the FET
JP2003037126A (en) Semiconductor device and method for manufacturing the same
US20030166334A1 (en) Bond pad and process for fabricating the same
JP4329524B2 (en) Semiconductor device and manufacturing method thereof
JP2004281982A (en) Semiconductor device and its manufacturing process

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees