CN103510153A - 半导体结构和方法 - Google Patents

半导体结构和方法 Download PDF

Info

Publication number
CN103510153A
CN103510153A CN201210489256.4A CN201210489256A CN103510153A CN 103510153 A CN103510153 A CN 103510153A CN 201210489256 A CN201210489256 A CN 201210489256A CN 103510153 A CN103510153 A CN 103510153A
Authority
CN
China
Prior art keywords
semiconductor
room
raw
billet
crystal wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201210489256.4A
Other languages
English (en)
Inventor
薛森鸿
陈步芳
王祥保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN201810722327.8A priority Critical patent/CN108930060A/zh
Publication of CN103510153A publication Critical patent/CN103510153A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B15/00Single-crystal growth by pulling from a melt, e.g. Czochralski method
    • C30B15/02Single-crystal growth by pulling from a melt, e.g. Czochralski method adding crystallising materials or reactants forming it in situ to the melt
    • C30B15/04Single-crystal growth by pulling from a melt, e.g. Czochralski method adding crystallising materials or reactants forming it in situ to the melt adding doping materials, e.g. for n-p-junction
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B15/00Single-crystal growth by pulling from a melt, e.g. Czochralski method
    • C30B15/20Controlling or regulating
    • C30B15/203Controlling or regulating the relationship of pull rate (v) to axial thermal gradient (G)
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B15/00Single-crystal growth by pulling from a melt, e.g. Czochralski method
    • C30B15/20Controlling or regulating
    • C30B15/206Controlling or regulating the thermal history of growing the ingot
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B15/00Single-crystal growth by pulling from a melt, e.g. Czochralski method
    • C30B15/20Controlling or regulating
    • C30B15/22Stabilisation or shape controlling of the molten zone near the pulled crystal; Controlling the section of the crystal
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/02Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion materials in the solid state
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/04Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion materials in the liquid state
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/16Feed and outlet means for the gases; Modifying the flow of the gases
    • C30B31/165Diffusion sources
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • H01L21/3225Thermally inducing defects using oxygen present in the silicon body for intrinsic gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • H01L29/365Planar doping, e.g. atomic-plane doping, delta-doping
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B15/00Single-crystal growth by pulling from a melt, e.g. Czochralski method
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明提供了一种用于为半导体晶圆提供支承的系统和方法。实施例包括从半导体晶锭分离半导体晶圆之前,在半导体晶锭形成期间引入空位增强原料。空位增强原料在半导体晶锭内以高密度形成空位,并且所述空位在诸如退火的高温度工艺期间在半导体晶圆内形成体微缺陷。这些体微缺陷有助于在后续工艺期间提供支承和增强半导体晶圆并且有助于减少或者消除可能出现的指纹覆盖。本发明还提供了半导体结构和方法。

Description

半导体结构和方法
技术领域
本发明一般地涉及半导体技术领域,更具体地来说,涉及半导体结构和方法。
背景技术
通常,集成电路可以由半导体管芯内的多个半导体器件形成。作为用于该工艺的起点,半导体器件可以通过包括氧化、注入、沉积、光刻、蚀刻、退火、化学机械抛光的一系列制造步骤形成。一旦已经形成半导体器件,为了将单个器件相互连接并且还为了提供单个器件和外部器件之间的连接,一系列的导电层和介电层可以沉积或者以其他方式形成在半导体器件的上方,使得可以通过消费者用于一体化集成电路。
然而,当集成电路和它们关联的半导体器件在急速小型化过程中按比例减小到越来越小的尺寸,已经在所有的生产层面中出现了问题。这些问题包括获得光刻掩模的提高的精确性以确保在沉积工艺期间在高纵横比通孔中具有足够覆盖率的任何事情。在各级小型化中,需要处理这些问题以便小型化继续符合消费者对产品的要求。
随着器件尺寸下降超出20纳米工艺节点,可以发生的一种问题是可以在半导体晶圆中产生缺陷,其中,为了制造集成电路,各种半导体器件、导电层和介电层形成在该半导体晶圆上。尤其是,半导体晶圆在高温工艺期间具有翘曲或者挠曲的趋势。在越来越小的工艺节点中,当在半导体晶圆上实施各种制造工艺时,甚至晶圆的小翘曲或者挠曲就可以导致未对准。
发明内容
为了现有技术中所存在的缺陷,根据本发明的一方面,提供了一种用于制造半导体器件的方法,所述方法包括:将半导体原料和空位增强原料进行组合以形成组合的原料混合物;以及将所述原料混合物结晶化为半导体晶锭。
在该方法中,将所述半导体原料和所述空位增强原料进行组合进一步包括:将所述半导体原料融化为半导体熔融。
在该方法中,所述空位增强原料为氮化物。
该方法进一步包括:从所述半导体晶锭中分离出一个或多个半导体晶圆。
该方法进一步包括:在所述一个或多个半导体晶圆的一个半导体晶圆内形成隔离区。
在该方法中,形成所述隔离区进一步包括:在所述一个或多个半导体晶圆的一个半导体晶圆中的沟槽内沉积介电材料;以及对所述介电材料进行退火,其中,对所述介电材料进行退火在所述一个或多个半导体晶圆的一个半导体晶圆内生成体微缺陷。
在该方法中,所述体微缺陷的浓度大于约1E9#/cm3
根据本发明的另一方面,提供了一种制造半导体器件的方法,所述方法包括:提供半导体原料;将空位增强原料引入所述半导体原料内,所述空位增强原料包括空位增强材料;将所述半导体原料和所述空位增强材料结晶化为半导体晶锭,其中,所述半导体晶锭包括第一组空位;从所述半导体晶锭中分离出半导体晶圆,所述半导体晶圆包括所述第一组空位;以及使用至少部分热工艺将所述第一组空位转换为体微缺陷。
在该方法中,引入所述空位增强原料在所述半导体原料内引入浓度在大约1E10原子/立方厘米和1E9原子/立方厘米之间的空位增强原料。
在该方法中,结晶化所述半导体原料和所述空位增强原料进一步包括实施Czochralski工艺。
该方法进一步包括:在所述半导体晶圆内形成沟槽;以及用介电材料填充所述沟槽;以及对所述介电材料和所述半导体晶圆进行退火,其中,所述热工艺为至少部分对所述介电材料和所述半导体晶圆进行退火。
该方法进一步包括:平坦化所述介电材料;以及在转换所述第一组空位之后对所述介电材料和所述半导体晶圆进行退火。
在该方法中,所述空位增强原料是氮化物。
在该方法中,所述空位增强原料是氮。
根据本发明的又一方面,提供了一种制造半导体器件的方法,所述方法包括:将半导体原料置于坩埚内;将氮引入所述坩埚;将所述半导体原料和所述氮混合以形成半导体熔融;以及从所述半导体熔融中拉出半导体晶锭,所述半导体晶锭包括所述氮。
在该方法中,引入所述氮进一步包括:将氮化硅置于坩埚内。
该方法进一步包括:将所述半导体晶锭分离为半导体晶圆。
该方法进一步包括:对至少一个半导体晶圆进行退火以在所述至少一个半导体晶圆中内生成体微缺陷。
该方法进一步包括:在所述至少一个半导体晶圆上方形成介电材料,其中,对所述至少一个半导体晶圆中进行退火也对所述介电材料进行退火。20.根据权利要求15所述的方法,其中,引入所述半导体熔融具有大约1E10原子/立方厘米和大约1E19原子/立方厘米之间的氮浓度。
附图说明
为了更完整理解本实施例,以及其优势,现在将结合附图所进行的以下描述作为参考,其中:
图1示出了根据实施例的半导体牵引装置;
图2示出了根据实施例的半导体晶锭分离为半导体晶圆。
图3A和3B示出了根据实施例的半导体晶圆中沟槽的形成;
图4A和4B示出了根据实施例沟槽的填充和第一次退火;
图5示出了根据实施例的平坦化和第二次退火;以及
图6示出了根据实施例的半导体晶圆上的有源器件的形成。
除非另有说明,否则不同附图中的相应标号和符号通常指的是相应部件。绘制附图以清楚地示出实施例的相关方面而不必按比例绘制。
具体实施方式
下面详细论述了本实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体背景中实现的可应用的发明概念。所论述的具体实施例仅仅示出制造和使用所公开的主题的具体方式,而不用于限定不同实施例的范围。
将结合具体背景描述实施例,即,在以20纳米或者更小的工艺节点在半导体晶圆上制造半导体器件期间用于减少指纹的制造工艺。然而,其他实施例还可以应用到其他制造工艺。
现在参照图1,示出了用于形成半导体晶锭101的装置100。在一个实施例中,装置100可以被用于在Czochralski晶体生长工艺过程中形成半导体晶锭101,其中,当从半导体熔融107中拉出半导体晶锭101时,半导体材料(例如,硅)的原子沿液体界面固化并且结晶。然而,本领域技术人员应该理解,尽管在本文中描述了Czochralski工艺,但是由于本实施例可以用在各种晶体生长工艺中,所以本实施例并不限于Czochralski工艺。
装置100可以包括外部壳体103、容纳半导体熔融107的坩锅105、加热元件109以及牵引装置111。外部壳体103可以包括耐热材料(例如,石英、碳化硅、它们的组合等),并且提供用于容纳坩锅105和加热元件109的内腔。外部壳体103还可以提供进口和出口(在图1中未单独示出),其中,诸如氩气的保护其他和惰性气体可以流入和流出外部壳体103。
坩锅105可以用于融化原料(以下进行更详细的描述)并保持半导体熔融107,同时从坩锅105中的半导体熔融107中拉出半导体晶锭101。坩锅105可以由不会严重污染或者以其他方式污染半导体熔融107的材料形成,同时还能够经受来自用于形成和保持半导体熔融107的加热元件109的热量。在一个实施例中,坩锅105可以为石英,但是可以可选地使用任何其他合适的材料。尽管坩锅105可以具有诸如小于大约200mm的深度和大约400mm和大约1,000mm之间的直径,但是可以可选地使用任何其他合适的尺寸部分取决于期望的半导体晶锭101的尺寸。
坩锅105可以设置在可旋转轴113上。当半导体晶锭101从半导体熔融107拉出时,可以利用可旋转轴113来旋转坩锅105。在一个实施例中,可旋转轴113提供了与半导体晶锭101从半导体熔融107拉出期间牵引装置111(下面进一步描述的)提供的旋转相反的旋转。
加热元件109可以热接近坩锅105。可以将加热元件109放置为能够将坩锅105和坩锅105的内部加热至期望温度(诸如熔化原料并形成半导体熔融107的温度)的位置处。尽管加热元件109可以为电阻加热器、石墨加热器、辐射加热器、高频加热器、它们的组合等等,但是可以可选地使用为坩锅105提供热量的任何合适的方法。
牵引装置111可以位于坩锅105上方并且用于降低/提升晶种115进入/离开坩锅105中的半导体熔融107。在一个实施例中,牵引装置111可以包括用于保持和支承晶种115的牵引轴(pulling shaft)117和晶种夹持器119。当半导体晶锭101形成时,牵引轴117可以用于向晶种夹持器119、晶种115和半导体晶锭101提供支承和移动。另外,在工艺期间,牵引轴117可以用于将旋转传递给晶种115和半导体晶锭101。由牵引装置111提供的旋转可以与由可以可旋转轴113和坩锅105提供的旋转相反。
为了启动形成半导体晶锭101的工艺,一种或者多种原料(未在图1中单独地示出)可以装载在坩埚105中。在一个实施例中,通常可以装载作为固体材料的这些原料。在期望硅晶锭的实施例中,用于提供半导体材料(例如,硅)的原料可以为诸如固体多晶硅材料。然而,可以使用其他合适的材料形成任何期望的晶体。
可选地,如果期望掺杂半导体晶锭101,则还可以提供向半导体熔融107提供掺杂浓度的原料。例如,在期望半导体晶锭101掺杂有p型掺杂剂的实施例中,已经预先掺杂有期望杂质(例如,硼)的硅合金可以被添加在坩埚105中。可选地,如果期望半导体晶锭101掺杂有n型掺杂剂,则已经预先掺杂有n型掺杂剂(例如,磷)的硅合金可以被添加在坩埚105中。然而,可以可选地使用为了将掺杂剂结合在半导体晶锭101中向坩埚105提供期望的掺杂剂的任何其他合适的方法。
除了用于半导体本身的原料和包括在半导体材料内的掺杂剂之外,还可以将附加的空位增强材料装载在坩埚105中以结合在半导体熔融107中。通过将空位增强材料与其他原料一起装载在坩埚105中,当半导体晶锭101被拉出时,空位增强材料可以结合在半导体晶锭101中。当空位增强材料结合在半导体晶锭101中时,随着空位增强材料生长,空位增强材料产生或者提高半导体晶锭101内的较高的空位浓度。例如,随着半导体晶锭101被拉出,半导体晶锭101的空位浓度可以在大约1E10#/cm3和大约1E15#/cm3之间(例如,1E11#/cm3)。
在一个实施例中,例如空位增强材料可以为氮,但是可以可选地使用其他合适的材料,诸如硫、碳、氯或者磷,它们的组合等。在空位增强材料是氮的实施例中,可以通过添加诸如SixNy(例如,Si3N4,但是x和y的精确比值取决于形成SixNy的沉积方法)、SiON或者SiBN的氮化物将空位增强材料引入坩埚105中。在一个实施例中,氮化物可以沉积在硅衬底上,然后置于坩埚105内,使得氮化物与其他原料混合以形成半导体熔融107。可以添加氮化物,使得半导体熔融107的氮浓度在大约1E10原子/立方厘米和大约1E19原子/立方厘米之间(例如,大约1E13原子/立方厘米)。
可选地,在空位增强材料是氮的实施例中,空位增强材料可以在半导体晶锭101拉出期间添加至周围环境。例如,当从半导体熔融107拉出半导体晶锭101时,氮可以以大约1slm和大约100slm之间(例如,大约10slm)的流量引入周围环境。
一旦原料已经置于坩埚105内,加热元件109可以提高坩埚105和原料的温度超过原料的熔点。在原料包括多晶硅的实施例中,加热元件109可以将温度提高到高于硅的熔点之上(例如,大于大约1,421℃),但是可以可选地使用大于原料的熔点的任何合适的温度。一旦温度已经升高,原料就融化并且在坩埚内混合以形成半导体熔融107并且加热元件109可以被用于稳定半导体熔融107的温度。
在原料已经融化并且混合以形成半导体熔融107之后,晶种115可以被置于牵引装置111的种子支架119内。晶种115用作半导体晶锭101生长的成核点。在一个实施例中,晶种115的直径可以为大约5mm,并且晶种115的长度可以在大约100mm和300mm之间,但是晶种115的尺寸不限于这些尺寸并且可以随着期望工艺而变化。
在晶种115已经置于种子支架119内后,牵引装置111可以降低晶种115进入半导体熔融107内以开始半导体晶锭101的生长。一旦与半导体熔融107接触,牵引装置111就可以反向并且开始从半导体熔融107中拉出晶种115。通常,随着牵引装置111将晶种115从半导体熔融107中拉出,半导体熔融107的材料会冷却并且在晶种115和半导体熔融107之间的介面处凝固,从而当与晶种115的晶体结构结晶时,凝固为半导体晶锭101。
具体地,通过最初以初始第一速度从半导体熔融107中拉出晶种115,可以开始从半导体熔融107拉出半导体晶锭101以形成细颈121。该细颈121可以用于抵消并且平衡由相对冷却的晶种115浸入高温的半导体熔融107内所产生的应力,半导体熔融107可以产生可以造成宏观错位的应力。然而,通过拉出晶种115形成细颈121,可以将这些错位驱动至细颈121的表面,并且可以防止该错位传播到半导体晶锭101的剩余物内。
一旦已经形成细颈121,在可以减小牵引装置111的拉晶速度的同时,半导体熔融107的工艺温度可以降低至以期望直径形成半导体晶锭101的剩余物。例如,牵引装置111的拉晶速度可以从低于第一拉晶速度降低并且半导体熔融107的工艺温度可以被修正为大约1400℃,但是精确的工艺条件可以随着期望半导体晶锭101期望尺寸和形状而进行变化。随着半导体晶锭101被拉出,该半导体晶锭可以继续生长直到工艺条件被修改或者半导体熔融107被耗尽而没有补充为止。
然而,在半导体晶锭101生长的同时,空位增强材料(例如,氮)也结合在半导体晶锭101的晶体结构中。空位增强材料的结合会导致在半导体晶锭101内或者在整个半导体晶锭101中形成高浓度的空位。如上所述,半导体晶锭101的空位浓度可以在大约1E10#/cm3和大约1E15#/cm3之间,例如,1E11#/cm3。在半导体晶锭101的进一步加工期间可以使用这些空位以帮助增强材料(如以下结合图3A和3B所述的)。
作为本领域技术人员应该理解,上述的Czochralski晶体生长工艺仅是形成包括空位增强材料的半导体晶体的一种方法。更确切地,由于可以使用用于将空位增强材料结合在半导体晶锭的任何合适的方法,以上描述仅作为一个示例性实施例。用于生长半导体晶锭201和将空位增强材料结合在半导体晶锭201中的所有合适的方法完全包括在该实施例的范围内。
仅作为一个其他实例,如果使用诸如SiH4,Si2H6和Si3H8的前体利用化学汽相沉积(CVD)工艺形成半导体晶锭201,以形成半导体材料,然后可以引入空位增强材料作为含有空位增强材料的另一种气态前体。例如,在氮是空位增强材料的实施例中,可以将气态氨(NH3)或者氮(N2)引入CVD工艺中作为另一种前体,从而随着半导体晶锭生长,结合在半导体晶锭201内的径向分布的氮(与在使用Czochralski工艺时的轴向分布相反)。在使用氨水的实施例中,可以以大约1slm和大约100slm之间的流量(例如,大约10slm)引入氨水,同时可以以大约1slm和大约100slm之间的流量(例如,大约10slm)引入半导体前体SiH4,并且可以以大约1slm和大约100slm之间的流量(例如,大约10slm)引入其他半导体前体Si2H6
图2示出了一旦已经完成半导体晶锭101的形成,在也移动细颈121和半导体晶锭101的任何拖尾残余物的同时,半导体晶锭101就可以被切成多个独立的半导体晶圆201。在切割半导体晶锭101之前,可以在半导体晶锭101上实施测试以测试诸如其电阻系数、杂质含量、晶体完整性等等的这些属性。如果半导体晶锭101通过这些测试,则半导体晶锭101可以使用诸如涂覆有泥浆(在图2中没有单独示出)切透半导体晶锭101的金属丝划分为单独的半导体晶圆201,但是可以可选地使用任何其他合适的分割方法,诸如利用金刚石涂层的锯切割半导体晶锭101。一旦分开,就可以对于单个的半导体晶圆201实施诸如边缘研磨、蚀刻和抛光,它们的组合等的进一步的工艺以为进一步处理作准备。
图3A和3B示出了诸如晶体管600(在图3A中未示出,但下文结合图6进一步示出和论述)的半导体器件最初在一个半导体晶圆201上形成。在一个实施例中,可以通过在半导体晶圆201内形成由沟槽305围绕的有源区303开始工艺。有源区303是半导体晶圆201与半导体晶圆201的顶面相邻的区域,为了使有源区303导电,已经在所述半导体晶圆201的顶面内放置了掺杂剂(例如,如以上结合图1论述的,将掺杂剂结合在半导体熔融107内)或者可以注入掺杂剂。为了有助于隔离有源区303,有源区303可以通过沟槽305被彼此分离。
为了形成沟槽305,缓冲层306可以可选地形成在半导体晶圆201上方,并且可以用于提供在半导体晶圆201和掩模层307之间缓冲。缓冲层306可以为诸如像二氧化硅的氧化物的缓冲材料,但是可以使用其他合适的缓冲材料。缓冲层306可以通过半导体晶圆201的热氧化形成,但是可以使用用于形成缓冲层306的任何合适的工艺。缓冲层306可以被形成为在大约
Figure BDA00002468107400091
和大约
Figure BDA00002468107400092
之间的厚度,例如大约
Figure BDA00002468107400093
可以在缓冲层306和半导体晶圆201上方形成并且图案化掩模层307以保护有源区303,同时暴露半导体晶圆201的部分以帮助沟槽305的形成。可以通过沉积通过诸如化学汽相沉积(CVD)的工艺形成包括氮化硅的硬掩模层来形成掩模层307,但是可以可选地使用其他材料,诸如氧化物、氮氧化物、碳化硅、它们的组合等;以及其他工艺,诸如等离子增强化学汽相沉积(PECVD)、低压型化学汽相沉积(LPCVD)、或者甚至伴有氮化的二氧化硅的形成。一旦形成掩模层307,就通过合适的光刻工艺图案化掩模层307以曝光如图1所示的半导体晶圆201的这些部分。
然而,作为本领域技术人员应该理解,上述形成掩模层307的工艺和材料不是可以在暴露半导体晶圆201的用于形成沟槽305的部分时保护有源层303的唯一方法。在暴露要被去除的以形成沟槽305的半导体晶圆201的部分的同时,可以可选地使用诸如图案化和显影光刻胶的任何合适的工艺,以用于保护半导体晶圆201的有源区303的部分。所有这些方法完全包括在本发明的范围中。
一旦已经形成和图案化掩模层307,就可以在半导体晶圆201中形成沟槽305。可以通过诸如反应离子蚀刻(RIE)的合适的工艺去除暴露的半导体晶圆201以在半导体晶圆201中形成沟槽305,但是可以可选地使用其他合适的工艺。沟槽305可以被形成为距半导体晶圆201的表面在大约
Figure BDA00002468107400094
和大约
Figure BDA00002468107400095
之间的深度(例如,大约
Figure BDA00002468107400096
),并且可以具有大约1和大约10之间(例如,大约6)的纵横比。
图3B示出了图3A的虚线框311中的半导体晶圆201的简化的放大图。在该放大图中,在对半导体晶圆201实施任何热工艺之前,可以看到位于半导体晶圆201内的一些空位313。这些空位313可以具有通过引入如上文结合图3A所讨论的空位增强材料提高的浓度和分布。
图4A示出了用介电材料401填充和过填充沟槽305。在一个实施例中,介电材料401可以为氧化物材料、高密度等离子(HDP)氧化物、高密度未掺杂硅玻璃(HTUSG)、它们的组合等。可以使用诸如HARP工艺、高密度等离子CVD方法,常压CVD(APCVD)、等离子体增强型CVD(PECVD)的化学汽相沉积(CVD)方法或者本领域已知的其他合适的形成方法来形成介电材料401。可以通过用介电材料401过填充沟槽305和掩模层307来填充沟槽305。介电材料401可以被形成为位于沟槽305的表面上方的大约和大约
Figure BDA00002468107400102
之间的厚度,例如,大约
图4A还示出了可以对介电材料401实施的第一退火工艺(在图4中通过线403所示)。第一退火工艺403可以包括可以共同用于增加介电材料401的密度并且还用于形成体微缺陷(BMD)405(在图4中未示出,但以下关于图4B示出和阐述)的一系列独立的热工艺。在一个实施例中,第一退火工艺403可以包括初始化步骤、第一生长步骤、升温步骤以及第二生长步骤。
在一个实施例中,使用初始化步骤将温度从环境温度提高至大约700℃和大约1000℃之间,以初始化半导体晶圆201中的BMD 405的形成。可以以大约15℃/min和大约1000℃/min之间的速度实施温度的提高,直到达到期望温度。在该步骤期间,半导体晶圆201可以保持在氢气、氦气、氩气、它们的组合等的环境中。
一旦已经完成初始化步骤,就实施第一生长步骤以生长在初始化步骤中初始化的BMD。在第一生长步骤期间,半导体晶圆201可以保持包括氢气、氦气、氩气、它们的组合等的环境中,同时温度在大约0.5分钟和大约60分钟之间的时间时间段内保持在大约850℃和大约980℃之间的范围内。通过在该时间段内保持热常数不变,可以稳定控制BMD 405的生长。
一旦已经完成第一生长步骤,升温步骤可以用于将温度从第一生长步骤提高到大约1000℃和大约1300℃之间的温度。可以以大约0.5℃/min和大约5℃/min之间的速率实施这种提高直到已经获得期望温度。在升温步骤期间,半导体晶圆201可以保持在氢气、氦气、氩气、它们的组合等的外围环境中。
一旦升温步骤已经提高了用于第一退火工艺403的温度,就可以实施第二生长步骤以促进半导体晶圆201内的BMD 405的稳定生长。在一个实施例中,可以在氢气、氦气、氩气、它们的组合等的外围环境中以大约1000℃和大约1300℃之间的温度实施第二生长步骤。可以大于大约5分钟的时间段内实施第二生长步骤。
可选地,第一退火工艺403可以为诸如在熔炉中实施的单步骤热退火,或者可以为任何其他合适的热工艺,例如,闪光退火、激光退火、快速热退火、它们的组合等。在一个实施例中,第一退火工艺403是单步骤热退火,可以在大约2小时和大约48小时之间的时间段(例如,大约17小时)内在大约150℃和大约1150℃之间(例如,大约1100℃)的温度下实施第一退火工艺403。
图4B在放大图中示出了第一退火工艺403期间半导体晶圆201内体微缺陷(BMD)405的形成。具体地,随着第一退火工艺403进行,半导体晶圆201(可以具有大约1E17原子/立方厘米和大约1E19原子/立方厘米之间的浓度,例如,大约1.5E19原子/立方厘米)内的间隙氧进入半导体晶圆201内的空位313(参见图3B)并且在半导体晶圆201内产生BMD 405。用于该工艺的化学方程可以由方程1得出。
Si+2O+αVo=SiO2+βI    方程1
其中:V=空位
I=间隙硅
α=用于空位数量的矫正因子
β=用于间隙Si数量的矫正因子
由于间隙氧生成空位313位于其中的BMD 405,所以BMD 405具有与空位313在半导体晶圆201中类似的浓度。例如,BMD 405在整个半导体晶圆201中可以具有大约1E9#/cm3和大约1E12#/cm3之间的浓度,例如,大约5E9#/cm3(如通过MO4测量的)。另外,通过相对较大的BMD405浓度和结合空位增强材料,很好控制BMD 405的尺寸以使BMD 405本身不会产生不可控的问题。
另外,在诸如第一退火工艺403的退火工艺期间,随着来自退火工艺的热量流进和流出半导体晶圆201,半导体晶圆201可以膨胀、收缩和/或流动。这些膨胀、收缩和流动可以起作用以在半导体晶圆201内的不同点产生不同应力。随着半导体晶圆201退火,这些应力通常可以将半导体晶圆20部分变形为新形状,如已知的覆盖指纹(overlay fingerprint)。这种覆盖指纹可以造成不期望的晶圆间(W2W)和晶圆组间(L2L)的变形。
然而,随着半导体晶圆201内产生的高密度但微小的BMD 405的添加,可以减少或者消除这种覆盖指纹。具体地,在半导体晶圆201内形成的BMD405用作半导体晶圆201内的聚集体。因此,BMD 405会加强半导体晶圆201抵抗在第一退火工艺403和其他后续高温工艺期间产生的应力。这种加强有助于减少或者消除由第一退火工艺403和其他后续高温工艺导致的覆盖指纹。
图5示出了在第一退火工艺403之后去除位于沟槽305的外部的多余介电材料401以形成隔离沟槽501。在一个实施例中,可以使用诸如化学机械抛光(CMP)工艺的平坦化工艺去除多余的介电材料401,其中,使用蚀刻剂和研磨剂来化学和机械研磨多余的介电材料401直到介电材料401与半导体晶圆201齐平。另外,随着CMP工艺平坦化介电材料401和半导体晶圆201,还可以从半导体晶圆201的表面上去除缓冲层306和掩模层307,从而暴露进一步加工的有源区303。
在去除多余介电材料401之后,半导体晶圆201可以返回熔炉(或者可选地被置于不同熔炉内),使得隔离沟槽501可以在二次退火中再次退火(通过图5中的线503所示的)以使隔离沟槽501增加密度。在一个实施例中,可以大约2小时和大约48小时之间的时间(例如,大约17小时)的时间段内在大约150℃和大约1150℃之间的温度(例如,大约1100℃)下实施二次退火503。
然而,由于BMD 405(参见图4B)位于整个半导体晶圆201中并且有助于加固和支承半导体晶圆201,所以半导体晶圆201更好适合抵抗二次退火503期间产生的应力。具体地,BMD 405用于物理加强半导体晶圆201抵抗通常在二次退火503期间导致形成指纹覆盖的应力。然而,BMD 405的存在有助于减少或者消除以其他方式形成的指纹覆盖。
图6示出了在半导体晶圆201上方形成具有栅极介电层601、栅电极603、间隔件605的晶体管600。栅极介电层601可以为介电材料,例如,二氧化硅、氮氧化硅、氮化硅、氧化物、含氮氧化物、它们的组合等。栅极介电层601可以具有大于大约4的相对介电常数。这些材料的其他实例包括氧化铝、氧化镧、二氧化铪、氧化锆、氮氧化铪或者它们的组合。
在栅极介电层601包括氧化层的实施例中,可以通过任何氧化工艺,诸如在包括氧化物、H2O、NO或者它们的组合的环境中的湿热氧化或者干热氧化;或者通过使用正硅酸乙酯(TEOS)和氧气作为前体的化学汽相沉积(CVD)技术来形成栅极介电层601。在一个实施例中,栅极介电层601的厚度可以在大约至大约
Figure BDA00002468107400132
之间。
栅电极603可以包括导电材料,例如,金属(例如,钽、钛、钼、钨、铂、铝、铪、钌)、金属硅化物(例如,硅化钛、硅化钴、硅化镍、硅化钽)、金属氮化物(例如,氮化钛、氮化钽)、掺杂多晶硅、其他导电材料、它们的组合等。在栅电极603是多晶硅的实施例中,可以通过低压化学汽相沉积(LPCVD)沉积掺杂或者未掺杂多晶硅至大约
Figure BDA00002468107400133
至大约
Figure BDA00002468107400134
(例如,
Figure BDA00002468107400135
)范围内的厚度来形成栅电极603。
一旦已经形成栅极介电层601和栅电极603,可以图案化栅极介电层601和栅电极603。在一个实施例中,可以使用诸如光刻掩模和蚀刻工艺来图案化栅极介电层601和栅电极603,从而光刻掩模(在图6中未示出)形成在栅电极603上方然后暴露在图案化光下。在曝光之后,光刻掩模的期望部分被去除以暴露下面的栅电极603,然后,可以蚀刻栅电极603以去除曝光的部分,从而图案化栅电极603和栅极介电层601。
可以通过在栅电极603和半导体晶圆201上方均匀沉积一个或者多个间隔层(未示出)来形成间隔件605。间隔层可以包括SiN、氮氧化物、SiC、SiON、氧化物等并且可以通过诸如化学汽相沉积(CVD)、等离子增强CVD(PECVD)、溅射的常用方法以及本领域已知的其他方法形成。可以通过诸如各向同性或者各向异性蚀刻来图案化间隔层,从而从结构的水平表面去除间隔层并且形成如图6所示的间隔件605。
然而,作为本领域技术人员应该理解,上述工艺和如图6所示的产生的间隔件605的形状不仅是示例性的并不旨在将实施例限于这些描述。更确切地,可以使用间隔层和形状的任何数目和组合以形成用于栅极介电层601和栅电极603的间隔件605,并且可以可选地使用间隔件的任何合适的组合。
源极和漏极区607可以形成在栅极介电层601的相对侧上的半导体晶圆201中。在半导体晶圆201是n-型衬底的实施例中,可以通过注入诸如硼、镓、铟等合适的p-型掺杂剂来形成源极和漏极区607。可选地,在半导体晶圆201是p-型衬底的实施例中,可以通过注入诸如磷、砷等的合适的n-型掺杂剂来形成源极和漏极区607。可以使用栅极介电层601、栅电极603和间隔件605作为掩模来注入这些源极和漏极区607。
应当注意,本领域技术人员会理解许多其他工艺、步骤等可以用于形成这些源极和漏极区607。例如,本领域人员应该理解,可以使用间隔件和衬里的各种组合来多种注入以形成源极和漏极区607,从而具有适用于特定目的的特定形状和特性。任何这些工艺可以用于形成源极和漏极区607,并且以上描述不意味着将实施例限于以上所提出的步骤。
在晶体管600和任何其他有源和无源器件形成在半导体晶圆201上之后,可以实施进一步加工以制造多个半导体管芯(未与图6中的半导体晶圆201分开单独示出)。例如,导电材料层和介电材料可以形成在有源和无源器件上方以互连器件并形成期望电路,可以形成接触件以将期望电路连接至外部器件(未示出),并且可以形成无源层以覆盖和保护电路。一旦完成半导体晶圆201,可以通过在单个半导体管芯之间切割或者蚀刻半导体晶圆201来从半导体晶圆201切割独立半导体管芯使得可以从半导体晶圆201中去除半导体管芯。
根据一个实施例,提供了一种用于制造半导体器件的方法,提供了包括结合半导体原料和空位增强原料以形成组合的原料混合物的方法。原料混合物被结晶化为半导体晶锭。
根据另一个实施例,提供了一种用于制造半导体器件的方法,该方法包括提供半导体原料以及将空位增强原料引入所述半导体原料中,空位增强原料包括空位增强材料。半导体原料和空位增强材料结晶化为半导体晶锭,其中半导体晶锭包括第一组空位。从半导体晶锭分离半导体晶圆,半导体晶圆包括第一组空位;并且使用至少部分热工艺将所述第一组空位转换成体微缺陷。
根据又一个实施例,提供了一种用于制造半导体器件的方法,该方法包括将半导体原料置于坩埚内并且氮引入所述坩埚内。半导体原料和所述氮被混合以形成半导体熔融;以及从半导体熔融中拉出半导体晶锭,半导体晶锭包括氮。
尽管已经详细地描述了本发明及其优点,但应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,做各种不同的改变、替换和更改。例如,可以修改制造半导体晶锭的精确方法而仍然保持在本实施例的范围内。另外,也可以修改用于引入空位增强材料的精确材料和方法。
而且,本申请的范围并不旨在限制说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员应理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造、材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求应该包括在这样的工艺、机器、制造、材料组分、装置、方法或步骤的范围内。

Claims (10)

1.一种用于制造半导体器件的方法,所述方法包括:
将半导体原料和空位增强原料进行组合以形成组合的原料混合物;以及
将所述原料混合物结晶化为半导体晶锭。
2.根据权利要求1所述的方法,其中,将所述半导体原料和所述空位增强原料进行组合进一步包括:将所述半导体原料融化为半导体熔融。
3.根据权利要求1所述的方法,其中,所述空位增强原料为氮化物。
4.根据权利要求1所述的方法,进一步包括:从所述半导体晶锭中分离出一个或多个半导体晶圆。
5.根据权利要求4所述的方法,进一步包括:在所述一个或多个半导体晶圆的一个半导体晶圆内形成隔离区。
6.根据权利要求5所述的方法,其中,形成所述隔离区进一步包括:
在所述一个或多个半导体晶圆的一个半导体晶圆中的沟槽内沉积介电材料;以及
对所述介电材料进行退火,其中,对所述介电材料进行退火在所述一个或多个半导体晶圆的一个半导体晶圆内生成体微缺陷。
7.根据权利要求6所述的方法,其中,所述体微缺陷的浓度大于约1E9#/cm3
8.一种制造半导体器件的方法,所述方法包括:
提供半导体原料;
将空位增强原料引入所述半导体原料内,所述空位增强原料包括空位增强材料;
将所述半导体原料和所述空位增强材料结晶化为半导体晶锭,其中,所述半导体晶锭包括第一组空位;
从所述半导体晶锭中分离出半导体晶圆,所述半导体晶圆包括所述第一组空位;以及
使用至少部分热工艺将所述第一组空位转换为体微缺陷。
9.根据权利要求8所述的方法,其中,引入所述空位增强原料在所述半导体原料内引入浓度在大约1E10原子/立方厘米和1E9原子/立方厘米之间的空位增强原料。
10.一种制造半导体器件的方法,所述方法包括:
将半导体原料置于坩埚内;
将氮引入所述坩埚;
将所述半导体原料和所述氮混合以形成半导体熔融;以及
从所述半导体熔融中拉出半导体晶锭,所述半导体晶锭包括所述氮。
CN201210489256.4A 2012-06-15 2012-11-26 半导体结构和方法 Pending CN103510153A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810722327.8A CN108930060A (zh) 2012-06-15 2012-11-26 半导体结构和方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/525,041 US9945048B2 (en) 2012-06-15 2012-06-15 Semiconductor structure and method
US13/525,041 2012-06-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810722327.8A Division CN108930060A (zh) 2012-06-15 2012-11-26 半导体结构和方法

Publications (1)

Publication Number Publication Date
CN103510153A true CN103510153A (zh) 2014-01-15

Family

ID=49668130

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810722327.8A Pending CN108930060A (zh) 2012-06-15 2012-11-26 半导体结构和方法
CN201210489256.4A Pending CN103510153A (zh) 2012-06-15 2012-11-26 半导体结构和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810722327.8A Pending CN108930060A (zh) 2012-06-15 2012-11-26 半导体结构和方法

Country Status (3)

Country Link
US (1) US9945048B2 (zh)
CN (2) CN108930060A (zh)
DE (1) DE102013100146B4 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023227606A1 (en) * 2022-05-23 2023-11-30 Adamant Quanta Ab Method and system for processing a diamond

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010029883A1 (en) * 2000-01-17 2001-10-18 Toshirou Minami Method of fabricating a single crystal ingot and method of fabricating a silicon wafer
CN1545725A (zh) * 2001-08-23 2004-11-10 信越半导体株式会社 外延晶片及其制造方法
EP1811065A1 (en) * 2006-01-19 2007-07-25 Sumco Corporation Single crystal silicon wafer for insulated gate bipolar transistors and process for producing the same
CN102420164A (zh) * 2010-09-28 2012-04-18 台湾积体电路制造股份有限公司 形成浅沟槽隔离结构的方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2809165A (en) * 1956-03-15 1957-10-08 Rca Corp Semi-conductor materials
US3240568A (en) * 1961-12-20 1966-03-15 Monsanto Co Process and apparatus for the production of single crystal compounds
GB1093774A (en) * 1964-08-04 1967-12-06 Nippon Electric Co Improvements in or relating to crystal processing
US3533967A (en) * 1966-11-10 1970-10-13 Monsanto Co Double-doped gallium arsenide and method of preparation
US3520810A (en) * 1968-01-15 1970-07-21 Ibm Manufacture of single crystal semiconductors
US3596148A (en) * 1970-01-19 1971-07-27 Monsanto Co Double-doped gallium arsenide and method of preparation
US3755752A (en) * 1971-04-26 1973-08-28 Raytheon Co Back-to-back semiconductor high frequency device
JPS6028800B2 (ja) * 1977-10-17 1985-07-06 住友電気工業株式会社 低欠陥密度りん化ガリウム単結晶
JPS60137891A (ja) * 1983-12-24 1985-07-22 Sumitomo Electric Ind Ltd 化合物半導体単結晶引き上げ方法と装置
JPH0220077A (ja) * 1988-07-08 1990-01-23 Toshiba Corp 緑色発光ダイオードの製造方法
DE69112463T2 (de) 1990-03-30 1996-02-15 Shinetsu Handotai Kk Vorrichtung zur Herstellung von Monokristallen nach dem Czochralski-Verfahren.
JPH046196A (ja) * 1990-04-23 1992-01-10 Nippon Steel Corp シリコンウェハおよびその製造方法
DE4106589C2 (de) 1991-03-01 1997-04-24 Wacker Siltronic Halbleitermat Kontinuierliches Nachchargierverfahren mit flüssigem Silicium beim Tiegelziehen nach Czochralski
US5493985A (en) * 1993-02-26 1996-02-27 The United States Of America As Represented By The Secretary Of The Air Force Process and apparatus for controlled synthesis and in-situ single crystal growth of phosphorus compounds and the crystals therefrom
US5820672A (en) 1994-05-09 1998-10-13 Texas Instruments Incorporated OISF control in czochralski-grown crystals
JPH0888268A (ja) * 1994-09-16 1996-04-02 Toshiba Corp 半導体装置の製造方法
US5487355A (en) 1995-03-03 1996-01-30 Motorola, Inc. Semiconductor crystal growth method
US5788763A (en) * 1995-03-09 1998-08-04 Toshiba Ceramics Co., Ltd. Manufacturing method of a silicon wafer having a controlled BMD concentration
US5478762A (en) * 1995-03-16 1995-12-26 Taiwan Semiconductor Manufacturing Company Method for producing patterning alignment marks in oxide
US6503594B2 (en) * 1997-02-13 2003-01-07 Samsung Electronics Co., Ltd. Silicon wafers having controlled distribution of defects and slip
SG64470A1 (en) 1997-02-13 1999-04-27 Samsung Electronics Co Ltd Methods of manufacturing monocrystalline silicon ingots and wafers by controlling pull rate profiles in a hot zone furnace and ingots and wafers manufactured thereby
JPH10303208A (ja) * 1997-04-30 1998-11-13 Toshiba Corp 半導体基板およびその製造方法
US6548886B1 (en) * 1998-05-01 2003-04-15 Wacker Nsce Corporation Silicon semiconductor wafer and method for producing the same
EP1035236A4 (en) * 1998-08-31 2007-01-10 Shinetsu Handotai Kk MONOCRYSTALLINE SILICON PLATE, EPITAXIC SILICON PLATE, AND PROCESS FOR PRODUCING SAME
KR100331848B1 (ko) * 1999-07-20 2002-04-09 박종섭 반도체 소자의 콘택 패드 형성 방법
JP3589119B2 (ja) * 1999-10-07 2004-11-17 三菱住友シリコン株式会社 エピタキシャルウェーハの製造方法
US6344083B1 (en) * 2000-02-14 2002-02-05 Memc Electronic Materials, Inc. Process for producing a silicon melt
KR100816207B1 (ko) * 2000-09-19 2008-03-21 엠이엠씨 일렉트로닉 머티리얼즈 인코포레이티드 산화 유발 적층 흠이 거의 없는 질소 도핑 실리콘
JP4463957B2 (ja) * 2000-09-20 2010-05-19 信越半導体株式会社 シリコンウエーハの製造方法およびシリコンウエーハ
JP4106862B2 (ja) * 2000-10-25 2008-06-25 信越半導体株式会社 シリコンウェーハの製造方法
JP4720058B2 (ja) * 2000-11-28 2011-07-13 株式会社Sumco シリコンウェーハの製造方法
JP2002184779A (ja) * 2000-12-13 2002-06-28 Shin Etsu Handotai Co Ltd アニールウェーハの製造方法及びアニールウェーハ
US20020081817A1 (en) * 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
TW480563B (en) * 2001-02-06 2002-03-21 Taiwan Semiconductor Mfg Method to form active layer without lattice defect on wafer surface
JP4646440B2 (ja) * 2001-05-28 2011-03-09 信越半導体株式会社 窒素ドープアニールウエーハの製造方法
KR100390909B1 (ko) * 2001-06-12 2003-07-12 주식회사 하이닉스반도체 반도체소자의 게더링 방법
US6709957B2 (en) * 2001-06-19 2004-03-23 Sumitomo Mitsubishi Silicon Corporation Method of producing epitaxial wafers
US6730580B2 (en) * 2001-07-03 2004-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon substrate wafer fabrication method employing halogen gettering material and/or plasma annealing
JP4566478B2 (ja) 2001-08-09 2010-10-20 シルトロニック・ジャパン株式会社 シリコン半導体基板およびその製造方法
JP4633977B2 (ja) * 2001-08-30 2011-02-16 信越半導体株式会社 アニールウエーハの製造方法及びアニールウエーハ
US6777336B2 (en) * 2002-04-29 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation structure
US6949785B2 (en) * 2004-01-14 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Random access memory (RAM) capacitor in shallow trench isolation with improved electrical isolation to overlying gate electrodes
JP2005051040A (ja) * 2003-07-29 2005-02-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び半導体基板
US7211141B2 (en) * 2003-08-12 2007-05-01 Shin-Etsu Handotai Co., Ltd. Method for producing a wafer
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
JP2005142344A (ja) * 2003-11-06 2005-06-02 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP4577680B2 (ja) * 2004-04-13 2010-11-10 エルピーダメモリ株式会社 半導体装置の製造方法
JP4794137B2 (ja) * 2004-04-23 2011-10-19 Sumco Techxiv株式会社 シリコン半導体基板の熱処理方法
JP2006054350A (ja) * 2004-08-12 2006-02-23 Komatsu Electronic Metals Co Ltd 窒素ドープシリコンウェーハとその製造方法
JP2006093645A (ja) * 2004-08-24 2006-04-06 Toshiba Ceramics Co Ltd シリコンウェーハの製造方法
JP5023451B2 (ja) * 2004-08-25 2012-09-12 株式会社Sumco シリコンウェーハの製造方法、シリコン単結晶育成方法
DE102004041378B4 (de) * 2004-08-26 2010-07-08 Siltronic Ag Halbleiterscheibe mit Schichtstruktur mit geringem Warp und Bow sowie Verfahren zu ihrer Herstellung
JP2006261632A (ja) * 2005-02-18 2006-09-28 Sumco Corp シリコンウェーハの熱処理方法
US7323047B2 (en) * 2005-03-25 2008-01-29 Kyocera Corporation Method for manufacturing granular silicon crystal
EP1739210B1 (de) * 2005-07-01 2012-03-07 Freiberger Compound Materials GmbH Verfahren zur Herstellung von dotierten Halbleiter-Einkristallen, und III-V-Halbleiter-Einkristall
KR100939299B1 (ko) * 2005-07-27 2010-01-28 가부시키가이샤 사무코 실리콘 웨이퍼 및 그 제조 방법
JP5239155B2 (ja) * 2006-06-20 2013-07-17 信越半導体株式会社 シリコンウエーハの製造方法
US7968425B2 (en) * 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
KR100831681B1 (ko) * 2006-12-29 2008-05-22 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7858501B2 (en) * 2007-08-23 2010-12-28 Infineon Technologies Austria Ag Semiconductor wafer for semiconductor components and production method
EP2198077B1 (en) * 2007-10-03 2017-05-17 Silicor Materials Inc. Method for processing silicon powder to obtain silicon crystals
KR100983195B1 (ko) * 2007-12-28 2010-09-20 주식회사 실트론 2차원 선결함이 제어된 실리콘 잉곳, 웨이퍼, 에피택셜웨이퍼와, 그 제조방법 및 제조장치
US8029688B2 (en) * 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
DE102008013325B4 (de) * 2008-03-10 2011-12-01 Siltronic Ag Halbleiterscheibe aus einkristallinem Silicium und Verfahren zu deren Herstellung
US7655532B1 (en) * 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8476149B2 (en) * 2008-07-31 2013-07-02 Global Wafers Japan Co., Ltd. Method of manufacturing single crystal silicon wafer from ingot grown by Czocharlski process with rapid heating/cooling process
US7977216B2 (en) * 2008-09-29 2011-07-12 Magnachip Semiconductor, Ltd. Silicon wafer and fabrication method thereof
US8329133B2 (en) * 2008-11-03 2012-12-11 Gt Crystal Systems, Llc Method and apparatus for refining metallurgical grade silicon to produce solar grade silicon
JP2010147248A (ja) * 2008-12-18 2010-07-01 Siltronic Ag アニールウェハおよびアニールウェハの製造方法
JP5346744B2 (ja) * 2008-12-26 2013-11-20 ジルトロニック アクチエンゲゼルシャフト シリコンウエハ及びその製造方法
US20120001301A1 (en) * 2009-04-13 2012-01-05 Shin-Etsu Handotai Co., Ltd. Annealed wafer, method for producing annealed wafer and method for fabricating device
US7960286B2 (en) * 2009-06-17 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Narrow channel width effect modification in a shallow trench isolation device
US9187843B2 (en) * 2009-07-23 2015-11-17 Sumitomo Electric Industries, Ltd. Method and apparatus for producing semiconductor crystal, and semiconductor crystal
KR101231412B1 (ko) * 2009-12-29 2013-02-07 실트로닉 아게 실리콘 웨이퍼 및 그 제조 방법
KR101266701B1 (ko) * 2010-02-12 2013-05-22 주식회사 엘지실트론 단결정 냉각장치 및 이를 포함하는 단결정 성장장치
JP5496828B2 (ja) * 2010-08-27 2014-05-21 東京エレクトロン株式会社 熱処理装置
US20120126171A1 (en) * 2010-11-24 2012-05-24 Siemens Medical Solutions Usa, Inc. Crystal Growth Atmosphere For Oxyorthosilicate Materials Production
US8753961B2 (en) * 2011-01-10 2014-06-17 Texas Instruments Incorporated Thermal budget optimization for yield enhancement on bulk silicon wafers
JP5912368B2 (ja) * 2011-03-22 2016-04-27 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハの熱処理方法及びシリコンウェーハ
US20120292735A1 (en) * 2011-05-20 2012-11-22 GLOBALFOUNDRIES Singapore Pte.Ltd. Corner transistor suppression
US9318370B2 (en) * 2011-08-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. High-k dielectric liners in shallow trench isolations
US20130049134A1 (en) * 2011-08-30 2013-02-28 Renesas Electronics Corporation Semiconductor device and method of making same
US8901706B2 (en) * 2012-01-06 2014-12-02 International Business Machines Corporation Thermally stable high-K tetragonal HFO2 layer within high aspect ratio deep trenches
US20130192303A1 (en) * 2012-01-27 2013-08-01 Memc Qualitative crystal defect evaluation method
JP5993252B2 (ja) * 2012-09-06 2016-09-14 東京エレクトロン株式会社 蓋体開閉装置及びこれを用いた熱処理装置、並びに蓋体開閉方法
US9966477B2 (en) * 2012-12-14 2018-05-08 Cypress Semiconductor Corporation Charge trapping split gate device and method of fabricating same
US9634098B2 (en) * 2013-06-11 2017-04-25 SunEdison Semiconductor Ltd. (UEN201334164H) Oxygen precipitation in heavily doped silicon wafers sliced from ingots grown by the Czochralski method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010029883A1 (en) * 2000-01-17 2001-10-18 Toshirou Minami Method of fabricating a single crystal ingot and method of fabricating a silicon wafer
CN1545725A (zh) * 2001-08-23 2004-11-10 信越半导体株式会社 外延晶片及其制造方法
EP1811065A1 (en) * 2006-01-19 2007-07-25 Sumco Corporation Single crystal silicon wafer for insulated gate bipolar transistors and process for producing the same
CN102420164A (zh) * 2010-09-28 2012-04-18 台湾积体电路制造股份有限公司 形成浅沟槽隔离结构的方法

Also Published As

Publication number Publication date
DE102013100146A1 (de) 2013-12-19
CN108930060A (zh) 2018-12-04
DE102013100146B4 (de) 2017-08-10
US9945048B2 (en) 2018-04-17
US20130337631A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
CN103228827B (zh) 外延碳化硅单晶基板的制造方法
US7704318B2 (en) Silicon wafer, SOI substrate, method for growing silicon single crystal, method for manufacturing silicon wafer, and method for manufacturing SOI substrate
TWI577841B (zh) 單晶矽之成長方法及其製備之單晶矽錠(二)
KR101947926B1 (ko) 에피택셜 탄화규소 웨이퍼의 제조 방법
TW201003764A (en) Thin silicon wafer and method of manufacturing the same
JP2003124219A (ja) シリコンウエーハおよびエピタキシャルシリコンウエーハ
JP2010003899A (ja) シリコンウェハ、半導体装置、シリコンウェハの製造方法および半導体装置の製造方法
CN101217107A (zh) 以键合减薄制备绝缘体上硅的方法
JPH1064917A (ja) シリコンウェーハおよびその製造方法
CN100428411C (zh) 制造硅外延晶片的方法和硅外延晶片
TW200828413A (en) Manufacturing method for semiconductor substrate
US7122865B2 (en) SOI wafer and process for producing it
CN105658847B (zh) 外延碳化硅晶片的制造方法
CN103510153A (zh) 半导体结构和方法
US11621330B2 (en) Semiconductor wafer of monocrystalline silicon and method of producing the semiconductor wafer
JP2002203809A (ja) 半導体装置及びその製造方法
US20110136328A1 (en) Method for depositing ultra fine grain polysilicon thin film
JPH09115833A (ja) 半導体素子のポリシリコン膜製造方法
JPH06151864A (ja) 半導体基板及びその製造方法
JP2005079134A (ja) 半導体基板およびその製造方法
JPH05326467A (ja) 半導体基板及びその製造方法
JP2003188107A (ja) 半導体エピタキシャルウエーハの製造方法および半導体エピタキシャルウエーハ
US20180308697A1 (en) Semiconductor substrate and manufacturing method of the same
WO2024089963A1 (ja) スーパージャンクション構造を有する半導体素子の製造方法
US11987900B2 (en) Methods for forming a silicon substrate with reduced grown-in nuclei for epitaxial defects and methods for forming an epitaxial wafer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140115