CN103510072B - 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 - Google Patents
抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 Download PDFInfo
- Publication number
- CN103510072B CN103510072B CN201310256636.8A CN201310256636A CN103510072B CN 103510072 B CN103510072 B CN 103510072B CN 201310256636 A CN201310256636 A CN 201310256636A CN 103510072 B CN103510072 B CN 103510072B
- Authority
- CN
- China
- Prior art keywords
- shower nozzle
- collar
- bar portion
- plate
- lining treatment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4558—Perforated rings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/513—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本发明涉及一种抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积的衬底处理系统,其包括喷头,所述喷头包括底部和杆部并且所述喷头传输前体气体到室。轴环,所述轴环将喷头连接到室的上表面。所述轴环围绕喷头的杆部设置,所述轴环包括多个槽,并且将前体气体引导通过多个槽导入喷头的底部和室的上表面之间的区域。
Description
相关申请的交叉引用
本发明要求2012年6月25日递交的美国临时申请No.61/663,802的优先权。该美国申请的公开内容被全面地引入下文。
技术领域
本发明涉及一种衬底处理系统,并且更具体地涉及在衬底处理系统中的寄生沉积的抑制。
背景技术
此处对背景的描述是为了总体上呈现发明的内容。本申请的发明人的工作,背景部分所描述的内容以及在申请时没有作为现有技术提出的其他方面,既不能明显地也不能隐含地被当做针对本发明的现有技术。
衬底处理系统,如等离子体增强原子层沉积(PEALD)和等离子体增强化学气相沉积(PECVD)可被用来沉积和刻蚀衬底(如半导体晶片)上的膜。一些PEALD和PECVD系统包括给处理室提供前体的枝形喷头。
喷头通常包括延伸入处理室的杆部和连接到杆部的头部。腔室形成在所述头部和处理室上表面之间的所述头部的后面。换句话说,喷头在腔室中形成死容积。
对于连续处理如PECVD,腔室在功能上不是一个问题。然而,对于瞬时处理如共形膜沉积(CFD)或者ALD,腔室储存了在后续步骤中消耗或交换的化学物。在连续步骤中储存化学物可引起周围环境的随时间变化的污染物。减少腔室容易使喷头(通常由射频供电)更靠近室(通常位于地上)的顶面。这可以增加耦合到室顶面的射频(RF)。在一些情况中,增加的射频耦合可能不利地影响撞击等离子体的能力。同样地,在腔室区域停留的化学成分的存在可能导致膜的沉积最终产生缺陷。
发明内容
一种衬底处理系统,其包括喷头,所述喷头包括底部和杆部并且所述喷头传输前体气体到室。轴环,所述轴环将喷头连接到室的上表面。所述轴环围绕喷头的杆部设置,所述轴环包括多个槽,并且将清扫气体引导通过多个槽到喷头的底部和室的上表面之间的区域。
在其他特征中,所述轴环包括底部和杆部。所述轴环的杆部限定了内部腔室,所述内部腔室容纳喷头的杆部。板包括开口,所述开口容纳喷头的杆部。所述板被设置在所述轴环的杆部的下边缘与所述喷头的底部之间。所述轴环将清扫气体引导至板和所述喷头的杆部之间以及板和所述喷头的底部之间。
在其他特征中,所述板的一个表面包括多个第一突出,以在所述板和所述喷头的底部之间提供均匀的间隔。所述开口包括多个第二突出,以在所述板和所述喷头的杆部之间提供均匀的间隔。
在其他特征中,所述轴环包括设置在轴环的底部的第一通道。所述轴环包括被限定在轴环的杆部的内部腔室的表面与所述喷头的杆部之间的第二通道。所述清扫气体流过第一通道流向第二通道并且从第二通道流过槽。
在其他特征中,所述轴环的杆部具有圆形截面。第一通道被设置在轴环的底部。第二通道被设置在轴环的杆部。所述清扫气体流过第一通道流向第二通道并且从第二通道流过槽。
在其他特征中,N个介电板被设置于围绕所述喷头的底部和室的上表面之间的所述喷头的杆部。所述轴环将清扫气体引导至N个介电板的上方和下方和N个介电板之间的至少一处,此处N是大于零的整数。
在其他特征中,所述腔室限定了多个第一表面,所述多个第一表面以间隔的关系围绕第一圆周和多个弓形表面设置,所述多个弓形表面设置在多个第一表面之间。所述多个弓形表面相对于多个第一表面径向向外弯曲。
一种运行衬底处理系统的方法,该方法包括使用喷头将前驱气体传输到室,其中所述喷头包括底部和杆部;使用轴环将喷头连接到室的上表面,其中,所述轴环被安排围绕所述喷头的杆部;和提供清扫气体通过轴环的槽进入所述喷头的底部和室的上表面之间的区域。
在其他特征中,所述轴环包括底部和杆部。所述轴环的杆部限定了内部腔室,所述内部腔室容纳所述喷头的杆部。所述方法包括将板设置在轴环的杆部的下边缘和喷头的底部之间,所述板包括容纳喷头的杆部的开口。
在其他特征中,所述方法包括将清扫气体导入所述板和所述喷头的杆部之间以及所述板和所述喷头的底部之间。所述方法包括使用多个突出将板相对于喷头的底部和杆部间隔开。所述方法包括在轴环的底部提供第一通道和在轴环的杆部的腔室的内表面与喷头的杆部之间提供第二通道。所述方法包括使清扫气体流过第一通道流向第二通道并且从第二通道流过槽。
在其他特征中,所述轴环的杆部具有圆形截面。所述方法包括在轴环的底部提供第一通道和在轴环的杆部提供第二通道;和使清扫气体流过第一通道流向第二通道并且从第二通道流过槽。
在其他特征中,所述方法包括设置N个介电板围绕在喷头的底部和室的表面之间的所述喷头的杆部并且使用轴环将清扫气体引导至N个介电板的上方和下方和N个介电板之间的至少一处,此处N是大于零的整数。所述衬底处理室执行原子层沉积。
一种方法,其包括在衬底处理系统的室中喷头下方设置衬底,所述喷头通过轴环被连接至衬底处理系统的上表面;将衬底暴露于第一前体持续第一预定时间;在第一预定时间之后,使清扫气体流过初次清扫路径和二次清扫路径。所述初次清扫路径使清扫气体流过喷头。所述二次清扫路径使清扫气体流过轴环的槽并且流过喷头的底部和上表面之间。所述二次清扫路径移除第一前体。所述方法包括将衬底暴露于第二前体持续第二预定时间。
在其他特征中,所述方法包括在第二预定时间后,使清扫气体流过初次清扫路径和二次清扫路径。所述二次清扫路径移除在喷头的底部和室的上表面之间的第二前体。所述方法包括在第一预定时间期间使清扫气体流过二次清扫路径。所述方法包括在第二预定时间期间使清扫气体流过二次清扫路径。
本发明的进一步应用领域通过后面的详细描述将会变得显而易见。应该理解的是,详细的描述和具体的例子仅仅是为了阐述并不意图限制本发明的范围。
附图说明
通过详细的描述以及附图,将更完全地理解本发明,其中:
图1是本发明的具有喷头和初次和二级清扫流通道的处理室的一个实施方式的横断面视图;
图2是本发明的轴环的一个实施方式的透视图;
图3是本发明的用于图2中的轴环的流体连接器的透视图;
图4A和4B是本发明的板的实施方式的俯视图和仰视图;
图5是本发明的用于图1中的处理室的流动模型;
图6是本发明的具有喷头和二级清扫流通道的处理室的另一实施方式的横断面视图;
图7是本发明的轴环的另一个实施方式的透视图;
图8是本发明的轴环的另一个实施方式的透视图;
图9仍然是本发明的具有喷头和二级清扫流通道的处理室的另一个实施方式的横断面视图;以及
图10和11是说明本发明的使用二级清扫系统的方法的实施方式的流程图。
具体实施方式
本发明描述了用于从处理室去除过量前体并防止前体流入特定区域(如喷头后方的腔室)的系统和方法。本发明也通过使用惰性气体而非昂贵的膜前体来增强室压强。较高的室压强对前体形成气帘因而增加了前体在衬底区域的部分压强同时在其他区域降低了压强。较高的室压强因其较高的压强状态减少了寄生等离子体的机会。
本发明引入了来自喷头后方的轴对称惰性气体流。在一些实施方式中,腔室中的清扫气体流满足贝克列条件(Peclet condition)(通常大于1的Peclet数)以防止前体的反向扩散(或者前体流)进入腔室。因此,当使腔室中不需要的沉积物(非常难清理)最小化时,室的体积可以减小。通过将后方流与RF隔离/抑制装置结合,可达到进一步的改进。RF隔离/抑制装置可减少腔室中的电场,降低寄生等离子体的机会。
在等离子体增强型原子层沉积(PEALD)处理中,前体需要交替地(alternatively)出现在反应室中然后被排出。为了防止寄生沉积,处理室中的过量前体在引入下一个前体之前,被从处理室以及共享的前体通道(如喷头的杆部)中去除。过量前体的去除通常是通过使用惰性气体来清扫传输通道和室来完成。
当使用枝形喷头时,来自喷头的清扫气体不能有效去除藏在喷头后面的过量前体。因此,前体会引起在喷头后方、在顶部板以及在处理室壁上的相当可观的量的寄生沉积。不能在死区填上固体电介质,因为这样做可能会导致RF耦合接地问题。
在一些实施方式中,腔室可以部分填有陶瓷和/或一系列间隔的堆叠盘。所述堆叠盘相当于一系列串联连接的电容。当使用间隔的堆叠盘时,会有净减少电容(与单独盘中的每一个的电容相比)。减少的电容也降低了耦合到室壁的射频。在一些实施方式中,选择盘的间隔以防止盘间等离子体的形成。盘间的气体流被期望用来防止中性前体扩射入这些空间然后沉积(寄生沉积)。
根据本发明,使用二次清扫在不影响工具吞吐量和膜质量的情况下来防止寄生沉积。同时,二次清扫系统不会引入死区(难以清扫的区域)且它自身不会产生死区。
现在参考图1,示出了衬底处理系统50的一个实施方式,所述衬底处理系统50包括具有喷头70的处理室60。所述喷头70包括杆部72和头部74。所述头部74限定内部腔室75。流体,如前体或清扫气体流经所述杆部72到达分散板76并进入内部腔室75。所述流体再通过位于头部74的底面的间隔孔78进入处理室。
所述喷头70的杆部72通过轴环80被连接至处理室60的顶壁。所述轴环80具有通常T形的横断面并且包括头部81和杆部83。所述轴环80限定内部腔室84,所述内部腔室84是圆柱形并且其容纳喷头70的杆部72。多个槽86形成在杆部83,以允许流体(如清扫气体从内部腔室84流向杆部83的外表面。
流体连接器90可被连接至轴环80的头部81的边缘并且被用于提供流体,如清扫气体。所述流体连接器90包括一个或多个管道和/或连接器(通常标记为92)。所述轴环80的头部81也包括管道和/或连接器(通常标记为93)以将流体流导入轴环80的内部腔室84。
板100设置在喷头70的头部74和轴环80之间。所述板100包括上表面104、中心开口或孔110以及底面114。在一些实施方式中,板100由陶瓷制成。可以选择板100的厚度使材料和接地或对寄生等离子体的电容耦合最小化。所述板100的上表面104与轴环80的底部边缘间隔开,以允许流体通过其间。中心孔110同样与杆部72间隔开,以允许流体通过其间。板的底面114与喷头70的上表面间隔开以允许流体通过其间。在一些实施方式中,板100可以省略且处理室可无板100而运行。
清扫气体流经轴环抑制了处理沉积的化学物进入腔室中的区域,以防止那里的不需要的薄膜沉积。可选择槽以及其他间隙的尺寸以防止等离子体在其中点燃并考虑到要满足的贝克列条件以防止以期望的气体流率反向扩散。
现在参考图2,示出了轴环80的一个实施方式。所述轴环80包括头部81和杆部83。槽86可具有弓形形状且可被设置围绕所述杆部83。所述槽86允许流体从内部腔室84流过槽86。所述头部81可包括配合部分118,所述配合部分与流体连接器90上的相应的配合部分紧密配合。连接后,轴环80的导管93与流体连接器90的导管92对齐。
现在参考图3,示出了轴环80的流体连接器90的一个实施方式。虽然流体连接器90显示为包括第二配合部分120、导管130、连接器132、导管134和连接器136,但是也可考虑流体连接器的其他构造。
现在参考图4A和图4B,示出了板100的实施方式。在图4A中,板100的上表面104显示为具有通常圆形的横截面和中心孔140,所述中心孔被设置在板100的中心。所述中心孔100包括一个或多个突出140,突出140从中心孔110径向向内延伸。所述突出140在板100和杆部72之间提供均匀的间隔。在图4B中,板100的底面114显示为包括突出144,其相对处理室的顶部向下延伸。所述突出144在板100的底面114和喷头70的头部74的上表面之间提供均匀的间隔。所述突出140和144可提供足够靠近的间隔以阻止寄生等离子体。仅举一例,约3mm或更少的间隔可能适于阻止通常处理状态的寄生等离子体。通常处理状态下使用这种间隔,没有足够空间给等离子体与等离子体鞘(小于两个等离子体鞘长度)一起形成。等离子体的形成可被等离子体密度、等离子体电子温度和通过鞘的电压所影响。
现在参考图5,示出了用于图1中的处理室的流动模型。所述流动模型演示了在靠近喷头的边缘流体(如清扫气体)没有再循环的流动。
现在参考图6,示出了处理室200的另一个实施方式。喷头70的杆部72通过轴环210被连接到处理室的顶壁60。所述轴环210具有通常T形的横断面并且包括头部218和杆部222。所述轴环210限定了腔室224,所述腔室通过轴环210的内壁225与喷头70的杆部72间隔开。通道227与腔室224连接。多个槽226形成在杆部222中以允许流体(如清扫气体)从腔室224流出通过通道227流向杆部222的外表面。
可以选择槽、通道和其他间隙的尺寸使其具有足够小的几何尺寸以阻止等离子体在其中点燃并且考虑到要满足的贝克列条件以防止以期望的气体流率反向扩散。
现在参考图7,示出了轴环210的一个实施方式。腔室224被连接到通道227,所述通道位于杆部222的内壁225和外壁之间。通道227与槽226流体连通以允许流体从腔室224流出经过通道227流向槽226。可提供一个或多个孔238以允许与轴环210的连接。当杆部72不与轴环210同轴时,所述轴环210具有多个对称清扫流。所述轴环210因此对喷头水平敏感度较低。
现在参考图8,示出了轴环300的另一个实施方式。所述轴环300包括头部310和杆部312,所述杆部包括多个槽314。中央腔室318容纳喷头70的杆部72。中央腔室318包括基本沿第一直径设置的多个第一表面320。多个弓形表面322径向向外弯曲并散布在多个第一表面322之间以提供更多区域容纳流体。
现在参考图9,示出了处理室400的另一个实施方式。在处理室上表面60和喷头的头部之间的腔室的一部分填满了材料402以减少处理容积。一个或多个板404被设置围绕杆部72并且位于喷头70的头部74的上方。板可由介电材料制成。
因为介质叠层中的射频磁场仍然很高,所以在板404之间可能会发生等离子体的形成(等离子体点燃)。在一些实施方式中,选择板404间的间隙使其足够小以防止“大量(bulk)”等离子体的形成。间隔件408可用来在头部74的上表面上方提供均匀的间隔。另一个板410可被设置在腔室内。板410可由导电或介电材料制成,可被连接至处理室的上表面60并且可基本平行于板404延伸。
仅举一例,当间隙小于或等于约3mm时,对于通常使用的压强和功率电平,等离子体的形成被阻止。然而,对不同数量的板、不同压强和/或射频功率电平,间隙的尺寸可设定其他值。在选定的种类和选定的处理条件(如温度、压强和射频功率和频率)下的半导体处理期间,可以选择间隙的尺寸和介电层或板的数量以防止在介电层之间的等离子体的形成。
轴环420包括头部422和杆部424,所述杆部包括多个槽428。中央腔室426容纳喷头70的杆部72并且给流体的流动提供额外的空间。流体流入中央腔室426、通过槽428和板404与410的中间。板404抑制等离子体并且也减少等离子体保持在板、轴环等之间的各种位置的机会。
现在参考图10和图11,示出了阐述使用二次清扫的方法的实施例的流程图。在图10中,示出了第一方法460。在464,将衬底暴露于第一前体持续第一预定时间。在468,当第一预定时间结束,执行初次和二次清扫。当初次和二次清扫完成,在472,将衬底暴露于第二前体持续第二预定时间。在476,当第二预定时间结束,执行初次和二次清扫。需要时可执行额外的处理。
在图11中,示出了类似于方法460的方法500。然而,在暴露于一个前体或两个前体期间,也运行二次流体流路径。可以理解的是,二次清扫也可仅在一次或两次前体暴露期间运行。在504,当流体流过二次清扫路径时,将衬底暴露于第一前体持续第一预定时间。在508,当第一预定时间结束,执行初次和二次清扫。当初次和二次清扫完成,在512,当流体流过二次清扫路径,将衬底暴露于第二前体持续第二预定时间。在516,当第二预定时间结束,可执行初次和二次清扫。需要时可执行额外的处理。
本发明的系统和方法使用射频元件和流动元件的结合以多种方式来解决寄生沉积。本发明的系统和方法也在没有在轴环周围产生额外的寄生等离子体的情况下提供近对称流。本发明的系统和方法对膜的生产量和不均匀性不具有负面影响。
本发明的等离子体抑制装备、系统和方法的组合减少了有效的室体积,大量减少了前体消耗,降低了操作成本和清扫时间。陶瓷板帮助减少等离子体的产生的机会且也减少等离子体保持在板、轴环等之间的各种位置的机会。例如在图9中,板100和顶板之间的空间被抑制等离子体的板填满。
本发明的系统的方法也消除了外界环境的随时间变化的污染物和在喷头后面的化学反应。
上文的描述实质上只是为了进行说明并不是要限制公开、本公开的应用或者使用。本发明的广泛教导可以不同形式实现。因此,虽然公开的内容包括特定的实施方式,但是本发明真正的范围不应该如此局限,因为在研究了附图、说明书和随附权利要求后,其他的修改方案是很显而易见的。为了清楚显示,附图中使用的相同的标记数字代表相同的元件。如本文中所使用的,短语“A、B、C中的至少一个”应被理解为逻辑上的(A或B或C),使用非排他的逻辑OR。应当理解,在不改变本发明的原则的基础上,一种方法中的一个或几个步骤可改变顺序(或者同时)执行。
Claims (16)
1.一种衬底处理系统,其包括:
喷头,所述喷头包括头部和杆部并且所述喷头传输前体气体到室;
其中所述头部包括上表面、侧壁、包括多个孔的下部平面表面,以及限定在上述上表面、侧壁、下部平面表面之间的圆柱形腔室,
其中所述头部从所述杆部的一端径向向外朝着所述室的侧壁延伸并且限定位于所述头部的上表面和所述室的上表面之间的腔室,
其中所述头部的所述圆柱形腔室接收通过所述杆部的处理气体,
其中所述圆柱形腔室中的所述处理气体通过所述多个孔流入所述下部平面表面并被散布到所述室;
轴环,所述轴环将所述喷头连接到临近所述杆部的另一端的所述室的上表面;
其中,所述轴环围绕所述喷头的所述杆部设置,所述轴环包括多个槽,并且将清扫气体引导通过所述多个槽导入所述喷头的头部和所述室的所述上表面之间的腔室;和
第一板,所述第一板包括开口,所述开口容纳所述喷头的所述杆部,其中所述第一板被设置为:在(i)所述轴环的所述杆部的下边缘,位于所述多个槽的下方,与(ii)所述喷头的所述头部之间。
2.如权利要求1所述的衬底处理系统,其中:
所述轴环包括底部和杆部;和
所述轴环的杆部限定了内部腔室,所述内部腔室容纳所述喷头的所述杆部。
3.如权利要求1所述的衬底处理系统,其中所述轴环将所述清扫气体引导至所述第一板和所述喷头的所述杆部之间以及所述第一板和所述喷头的所述头部之间。
4.如权利要求1所述的衬底处理系统,其中所述第一板的一个表面包括多个第一突出,以在所述第一板和所述喷头的所述头部之间提供均匀的间隔,并且其中所述开口包括多个第二突出,以在所述第一板和所述喷头的所述杆部之间提供均匀的间隔。
5.如权利要求2所述的衬底处理系统,其中:
所述轴环包括设置在所述轴环的所述底部的第一通道;
所述轴环包括被限定在所述轴环的所述杆部的所述内部腔室的表面与所述喷头的所述杆部之间的第二通道;和
所述清扫气体流过所述第一通道流向所述第二通道并且从所述第二通道流过所述槽。
6.如权利要求2所述的衬底处理系统,其中所述轴环的所述杆部具有圆形截面。
7.如权利要求2所述的衬底处理系统,其中:
所述轴环包括设置在所述轴环的所述底部的第一通道;
所述轴环包括设置在所述轴环的所述杆部的第二通道;和
所述清扫气体流过所述第一通道流向所述第二通道并且从所述第二通道流过所述槽。
8.如权利要求1所述的衬底处理系统,其进一步包括:
N个介电板,其包括所述第一板,所述N个介电板被设置围绕所述喷头的所述头部和所述室的所述上表面之间的所述喷头的所述杆部;
其中,所述轴环将清扫气体引导至以下中的至少一处:
所述N个介电板的上方和下方;和
所述N个介电板之间,
此处N是大于零的整数。
9.如权利要求2所述的衬底处理系统,其中所述腔室限定了:
多个第一表面,所述多个第一表面以间隔的关系围绕第一圆周设置;和
多个弓形表面,所述多个弓形表面设置在所述多个第一表面之间,所述多个弓形表面相对于所述多个第一表面径向向外弯曲。
10.如权利要求1所述的衬底处理系统,其中所述喷头由RF信号提供电源以产生在所述室中的等离子体。
11.如权利要求1所述的衬底处理系统,其中所述室接地。
12.如权利要求1所述的衬底处理系统,其中所述圆柱形腔室具有比所述杆部的直径大的直径。
13.如权利要求1所述的衬底处理系统,其中所述喷头包括枝形喷头。
14.如权利要求1所述的衬底处理系统,其中所述第一板被设置为使得清扫气体被从所述多个槽引导穿过所述第一板的上表面。
15.如权利要求14所述的衬底处理系统,其中所述轴环引导所述清扫气体向下进入所述第一板的开口并且进入所述第一板的下表面和所述喷头的所述头部的上表面之间的间隙。
16.一种原子层沉积系统,其包括如权利要求1所述的衬底处理系统。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201710251738.9A CN107435140B (zh) | 2012-06-25 | 2013-06-25 | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261663802P | 2012-06-25 | 2012-06-25 | |
US61/663,802 | 2012-06-25 | ||
US13/659,231 US9388494B2 (en) | 2012-06-25 | 2012-10-24 | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US13/659,231 | 2012-10-24 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710251738.9A Division CN107435140B (zh) | 2012-06-25 | 2013-06-25 | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103510072A CN103510072A (zh) | 2014-01-15 |
CN103510072B true CN103510072B (zh) | 2017-05-17 |
Family
ID=49774680
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710251738.9A Active CN107435140B (zh) | 2012-06-25 | 2013-06-25 | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 |
CN201310256636.8A Active CN103510072B (zh) | 2012-06-25 | 2013-06-25 | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710251738.9A Active CN107435140B (zh) | 2012-06-25 | 2013-06-25 | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 |
Country Status (5)
Country | Link |
---|---|
US (4) | US9388494B2 (zh) |
JP (4) | JP6250311B2 (zh) |
KR (4) | KR102177279B1 (zh) |
CN (2) | CN107435140B (zh) |
TW (2) | TWI621735B (zh) |
Families Citing this family (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US20140026816A1 (en) * | 2012-07-27 | 2014-01-30 | Applied Materials, Inc. | Multi-zone quartz gas distribution apparatus |
US9399228B2 (en) * | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9991153B2 (en) * | 2013-03-14 | 2018-06-05 | Applied Materials, Inc. | Substrate support bushing |
JP5859583B2 (ja) * | 2014-01-30 | 2016-02-10 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US9617638B2 (en) * | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
JP6591735B2 (ja) * | 2014-08-05 | 2019-10-16 | 株式会社Fuji | プラズマ発生装置 |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10177024B2 (en) * | 2015-05-12 | 2019-01-08 | Lam Research Corporation | High temperature substrate pedestal module and components thereof |
US10253412B2 (en) * | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
US10378107B2 (en) * | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9508547B1 (en) * | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US10403515B2 (en) * | 2015-09-24 | 2019-09-03 | Applied Materials, Inc. | Loadlock integrated bevel etcher system |
US10157755B2 (en) | 2015-10-01 | 2018-12-18 | Lam Research Corporation | Purge and pumping structures arranged beneath substrate plane to reduce defects |
CN105506581B (zh) * | 2015-12-15 | 2019-03-19 | 北京北方华创微电子装备有限公司 | 一种应用原子层沉积技术制备薄膜的实现方法 |
US9758868B1 (en) * | 2016-03-10 | 2017-09-12 | Lam Research Corporation | Plasma suppression behind a showerhead through the use of increased pressure |
US9738977B1 (en) | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US10128116B2 (en) * | 2016-10-17 | 2018-11-13 | Lam Research Corporation | Integrated direct dielectric and metal deposition |
US10535505B2 (en) | 2016-11-11 | 2020-01-14 | Lam Research Corporation | Plasma light up suppression |
KR102546317B1 (ko) * | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11004722B2 (en) | 2017-07-20 | 2021-05-11 | Applied Materials, Inc. | Lift pin assembly |
US20190032211A1 (en) * | 2017-07-28 | 2019-01-31 | Lam Research Corporation | Monolithic ceramic gas distribution plate |
CN109321894B (zh) * | 2017-07-31 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 一种增强清洗效果的沉积系统及方法 |
US10927459B2 (en) * | 2017-10-16 | 2021-02-23 | Asm Ip Holding B.V. | Systems and methods for atomic layer deposition |
CN109868458B (zh) * | 2017-12-05 | 2021-12-17 | 北京北方华创微电子装备有限公司 | 一种半导体设备的清洗系统及清洗方法 |
US11535936B2 (en) * | 2018-07-23 | 2022-12-27 | Lam Research Corporation | Dual gas feed showerhead for deposition |
KR20210080555A (ko) | 2018-11-21 | 2021-06-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법 |
US12057300B2 (en) | 2019-03-11 | 2024-08-06 | Lam Research Corporation | Apparatus for cleaning plasma chambers |
WO2020242710A1 (en) | 2019-05-29 | 2020-12-03 | Lam Research Corporation | Showerhead insert for uniformity tuning |
KR20220035192A (ko) | 2019-07-17 | 2022-03-21 | 램 리써치 코포레이션 | 기판 프로세싱을 위한 산화 프로파일의 변조 |
CN110904438A (zh) * | 2019-12-04 | 2020-03-24 | 沈阳拓荆科技有限公司 | 用于多种化学源之气体分配装置 |
KR102486904B1 (ko) | 2022-04-28 | 2023-01-11 | 주식회사 다담테크 | 코팅된 능동형 무전원 소화캡슐 조성물 제조방법 및 이를 이용한 소화 방재용 조성물 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5399254A (en) * | 1990-12-14 | 1995-03-21 | Leybold Ag | Apparatus for plasma treatment |
US6149727A (en) * | 1997-10-08 | 2000-11-21 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus |
CN201343570Y (zh) * | 2007-10-16 | 2009-11-11 | 诺发系统有限公司 | 温控喷淋头 |
CN101657565A (zh) * | 2007-04-17 | 2010-02-24 | 株式会社爱发科 | 成膜装置 |
CN102246274A (zh) * | 2008-10-10 | 2011-11-16 | 奥塔装置公司 | 用于气相沉积的同轴喷头 |
US8100081B1 (en) * | 2006-06-30 | 2012-01-24 | Novellus Systems, Inc. | Edge removal of films using externally generated plasma species |
Family Cites Families (198)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2124940A (en) * | 1937-11-08 | 1938-07-26 | John S Zink | Combination oil and gas burner |
US2679821A (en) * | 1948-03-27 | 1954-06-01 | Gen Electric | Burner for coating hollow glassware |
US3621812A (en) * | 1969-06-18 | 1971-11-23 | Texas Instruments Inc | Epitaxial deposition reactor |
GB2075455B (en) | 1980-04-30 | 1984-08-22 | Nippon Steel Corp | Apparatus and method for supporting a metal strip under a static gas pressure |
US5871811A (en) | 1986-12-19 | 1999-02-16 | Applied Materials, Inc. | Method for protecting against deposition on a selected region of a substrate |
FR2628984B1 (fr) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a planetaire |
JPH01309973A (ja) | 1988-06-07 | 1989-12-14 | Fujitsu Ltd | 薄膜形成装置 |
JPH02222134A (ja) | 1989-02-23 | 1990-09-04 | Nobuo Mikoshiba | 薄膜形成装置 |
JPH04348031A (ja) * | 1990-12-28 | 1992-12-03 | Mitsubishi Electric Corp | 化学気相成長装置 |
FR2682047B1 (fr) * | 1991-10-07 | 1993-11-12 | Commissariat A Energie Atomique | Reacteur de traitement chimique en phase gazeuse. |
US5446824A (en) * | 1991-10-11 | 1995-08-29 | Texas Instruments | Lamp-heated chuck for uniform wafer processing |
DE69222110T2 (de) | 1991-10-18 | 1998-03-05 | Koninkl Philips Electronics Nv | Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JP3422583B2 (ja) | 1994-03-23 | 2003-06-30 | 東京エレクトロン株式会社 | 処理装置 |
JP3172537B2 (ja) * | 1994-03-29 | 2001-06-04 | カール−ツァイス−スティフツング | 湾曲した基材のコーティング用pcvd法及び装置 |
GB9410567D0 (en) | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
JPH08115879A (ja) * | 1994-10-13 | 1996-05-07 | Toshiba Corp | 半導体製造装置 |
JPH08148439A (ja) * | 1994-11-15 | 1996-06-07 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
US5580387A (en) * | 1995-06-28 | 1996-12-03 | Electronics Research & Service Organization | Corrugated waveguide for a microwave plasma applicator |
US6002109A (en) | 1995-07-10 | 1999-12-14 | Mattson Technology, Inc. | System and method for thermal processing of a semiconductor substrate |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5892235A (en) | 1996-05-15 | 1999-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
US6143081A (en) | 1996-07-12 | 2000-11-07 | Tokyo Electron Limited | Film forming apparatus and method, and film modifying apparatus and method |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
EP0854210B1 (en) | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
TW415970B (en) | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
US5954881A (en) * | 1997-01-28 | 1999-09-21 | Northrop Grumman Corporation | Ceiling arrangement for an epitaxial growth reactor |
US6217715B1 (en) | 1997-02-06 | 2001-04-17 | Applied Materials, Inc. | Coating of vacuum chambers to reduce pump down time and base pressure |
US6794308B2 (en) * | 1998-01-07 | 2004-09-21 | Texas Instruments Incorporated | Method for reducing by-product deposition in wafer processing equipment |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
US6289842B1 (en) * | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
US6399484B1 (en) * | 1998-10-26 | 2002-06-04 | Tokyo Electron Limited | Semiconductor device fabricating method and system for carrying out the same |
DE19852552C2 (de) | 1998-11-13 | 2000-10-05 | Daimler Chrysler Ag | Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors |
KR100331544B1 (ko) * | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW582050B (en) | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
JP2000277521A (ja) * | 1999-03-26 | 2000-10-06 | Kobe Steel Ltd | 半導体ウェーハの高温高圧処理方法及び装置 |
JP2000297368A (ja) | 1999-04-14 | 2000-10-24 | Canon Inc | スパッタ方法及びスパッタ装置 |
US6495233B1 (en) | 1999-07-09 | 2002-12-17 | Applied Materials, Inc. | Apparatus for distributing gases in a chemical vapor deposition system |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6312568B2 (en) | 1999-12-07 | 2001-11-06 | Applied Materials, Inc. | Two-step AIN-PVD for improved film properties |
KR100722592B1 (ko) | 1999-12-22 | 2007-05-28 | 아익스트론 아게 | 화학 기상 증착 반응기 |
ATE249532T1 (de) * | 2000-02-04 | 2003-09-15 | Aixtron Ag | Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat |
DE10007059A1 (de) * | 2000-02-16 | 2001-08-23 | Aixtron Ag | Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung |
US6444039B1 (en) | 2000-03-07 | 2002-09-03 | Simplus Systems Corporation | Three-dimensional showerhead apparatus |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
DE10043601A1 (de) * | 2000-09-01 | 2002-03-14 | Aixtron Ag | Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
US6333272B1 (en) | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US9255329B2 (en) | 2000-12-06 | 2016-02-09 | Novellus Systems, Inc. | Modulated ion-induced atomic layer deposition (MII-ALD) |
US20020104556A1 (en) | 2001-02-05 | 2002-08-08 | Suraj Puri | Controlled fluid flow and fluid mix system for treating objects |
US7436599B2 (en) * | 2001-05-14 | 2008-10-14 | Olympus Corporation | Electronic image pickup system |
GB0112781D0 (en) | 2001-05-25 | 2001-07-18 | Global Continuity Plc | Method for rapid recovery from a network file server failure |
JP3990881B2 (ja) | 2001-07-23 | 2007-10-17 | 株式会社日立製作所 | 半導体製造装置及びそのクリーニング方法 |
US6820570B2 (en) * | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US20030040171A1 (en) * | 2001-08-22 | 2003-02-27 | Weimer Ronald A. | Method of composite gate formation |
US20030070620A1 (en) * | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
JP4387190B2 (ja) * | 2001-10-18 | 2009-12-16 | ビュン,チュル,スー | 汚染防止と膜成長速度増進機能を備える化学気相蒸着方法及び装置 |
DE10153463A1 (de) * | 2001-10-30 | 2003-05-15 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
US7017514B1 (en) | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
JP3982402B2 (ja) | 2002-02-28 | 2007-09-26 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US6866255B2 (en) | 2002-04-12 | 2005-03-15 | Xerox Corporation | Sputtered spring films with low stress anisotropy |
JP4338355B2 (ja) * | 2002-05-10 | 2009-10-07 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
KR100476370B1 (ko) | 2002-07-19 | 2005-03-16 | 주식회사 하이닉스반도체 | 배치형 원자층증착장치 및 그의 인시튜 세정 방법 |
JP4880175B2 (ja) | 2002-12-06 | 2012-02-22 | 富士通株式会社 | 気相成長装置及び気相成長方法 |
CN1777696B (zh) | 2003-03-14 | 2011-04-20 | 杰努斯公司 | 用于原子层沉积的方法和设备 |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
JP2005142355A (ja) | 2003-11-06 | 2005-06-02 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
KR100616486B1 (ko) | 2004-02-09 | 2006-08-28 | 백용구 | 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법 |
DE102004009772A1 (de) * | 2004-02-28 | 2005-09-15 | Aixtron Ag | CVD-Reaktor mit Prozesskammerhöhenstabilisierung |
US7273526B2 (en) | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7572337B2 (en) | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
US7622005B2 (en) | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
KR100532354B1 (ko) * | 2004-05-31 | 2005-11-30 | 삼성전자주식회사 | 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법 |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
KR101121417B1 (ko) * | 2004-10-28 | 2012-03-15 | 주성엔지니어링(주) | 표시소자의 제조장치 |
KR100558922B1 (ko) | 2004-12-16 | 2006-03-10 | (주)퓨전에이드 | 박막 증착장치 및 방법 |
US7510624B2 (en) * | 2004-12-17 | 2009-03-31 | Applied Materials, Inc. | Self-cooling gas delivery apparatus under high vacuum for high density plasma applications |
KR100854995B1 (ko) * | 2005-03-02 | 2008-08-28 | 삼성전자주식회사 | 고밀도 플라즈마 화학 기상 증착 장치 |
US7722719B2 (en) | 2005-03-07 | 2010-05-25 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
KR100673979B1 (ko) | 2005-03-17 | 2007-01-24 | 안강호 | 초미립자 제조장치 및 그 방법 |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
KR100731164B1 (ko) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
KR101218114B1 (ko) | 2005-08-04 | 2013-01-18 | 주성엔지니어링(주) | 플라즈마 식각 장치 |
DE102005056320A1 (de) | 2005-11-25 | 2007-06-06 | Aixtron Ag | CVD-Reaktor mit einem Gaseinlassorgan |
KR20070098104A (ko) | 2006-03-31 | 2007-10-05 | 삼성전자주식회사 | 가스커튼을 구비한 박막증착장치 |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
KR100849929B1 (ko) | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US7740706B2 (en) | 2006-11-28 | 2010-06-22 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20080124944A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20080121177A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7572647B2 (en) | 2007-02-02 | 2009-08-11 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US7789993B2 (en) | 2007-02-02 | 2010-09-07 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US8715455B2 (en) | 2007-02-06 | 2014-05-06 | Tokyo Electron Limited | Multi-zone gas distribution system for a treatment system |
US20080241384A1 (en) | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
US7965546B2 (en) * | 2007-04-26 | 2011-06-21 | Super Talent Electronics, Inc. | Synchronous page-mode phase-change memory with ECC and RAM cache |
US8197636B2 (en) | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
US8409351B2 (en) | 2007-08-08 | 2013-04-02 | Sic Systems, Inc. | Production of bulk silicon carbide with hot-filament chemical vapor deposition |
JP4472008B2 (ja) * | 2007-08-30 | 2010-06-02 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
EP2200790A1 (en) * | 2007-08-31 | 2010-06-30 | Applied Materials, Inc. | Production line module for forming multiple sized photovoltaic devices |
US7832354B2 (en) | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
JP2009071017A (ja) | 2007-09-13 | 2009-04-02 | Nuflare Technology Inc | 気相成長装置及び気相成長方法 |
KR100923453B1 (ko) | 2007-09-21 | 2009-10-27 | 주식회사 피에조닉스 | 샤워헤드를 구비한 반도체 소자 제조 장비 |
JP5444599B2 (ja) * | 2007-09-28 | 2014-03-19 | 東京エレクトロン株式会社 | ガス供給装置及び成膜装置 |
US8673080B2 (en) * | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090109595A1 (en) | 2007-10-31 | 2009-04-30 | Sokudo Co., Ltd. | Method and system for performing electrostatic chuck clamping in track lithography tools |
KR100891035B1 (ko) | 2007-11-05 | 2009-03-31 | 주식회사 계명엔지니어링 | 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관 |
JP4933409B2 (ja) | 2007-11-29 | 2012-05-16 | 株式会社ニューフレアテクノロジー | 半導体製造装置および半導体製造方法 |
US8137463B2 (en) * | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
WO2009082245A1 (en) * | 2007-12-21 | 2009-07-02 | Rpk New Zealand Limited | System and method for preventing unauthorised use of digital media |
JP2009149951A (ja) | 2007-12-21 | 2009-07-09 | Mitsubishi Heavy Ind Ltd | 製膜装置の膜厚調整方法 |
US8298338B2 (en) * | 2007-12-26 | 2012-10-30 | Samsung Electronics Co., Ltd. | Chemical vapor deposition apparatus |
KR101432562B1 (ko) * | 2007-12-31 | 2014-08-21 | (주)소슬 | 기판 처리 장치 및 기판 처리 방법 |
KR101417728B1 (ko) | 2008-03-12 | 2014-07-11 | 삼성전자주식회사 | 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법 |
US20090270849A1 (en) | 2008-03-17 | 2009-10-29 | Arqos Surgical Inc. | Electrosurgical Device and Method |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
JP2010016225A (ja) | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
US8465802B2 (en) * | 2008-07-17 | 2013-06-18 | Gang Li | Chemical vapor deposition reactor and method |
US9222172B2 (en) | 2008-08-20 | 2015-12-29 | Applied Materials, Inc. | Surface treated aluminum nitride baffle |
JP5439771B2 (ja) * | 2008-09-05 | 2014-03-12 | 東京エレクトロン株式会社 | 成膜装置 |
DE102008049494A1 (de) | 2008-09-27 | 2010-04-08 | Xtreme Technologies Gmbh | Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen |
DE102008055582A1 (de) * | 2008-12-23 | 2010-06-24 | Aixtron Ag | MOCVD-Reaktor mit zylindrischem Gaseinlassorgan |
JP5107285B2 (ja) | 2009-03-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
US8110889B2 (en) * | 2009-04-28 | 2012-02-07 | Applied Materials, Inc. | MOCVD single chamber split process for LED manufacturing |
KR101095172B1 (ko) * | 2009-10-01 | 2011-12-16 | 주식회사 디엠에스 | 플라즈마 반응 챔버의 사이드 가스 인젝터 |
CN102087955B (zh) | 2009-12-04 | 2012-10-31 | 中芯国际集成电路制造(上海)有限公司 | 改善等离子体工艺中反应腔室内部颗粒状况的方法 |
CN102136410B (zh) | 2010-01-27 | 2013-04-10 | 中芯国际集成电路制造(上海)有限公司 | 用于半导体工艺腔的清洁方法 |
US20110195202A1 (en) | 2010-02-11 | 2011-08-11 | Applied Materials, Inc. | Oxygen pump purge to prevent reactive powder explosion |
TWI539517B (zh) * | 2010-02-12 | 2016-06-21 | 應用材料股份有限公司 | 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室 |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
KR100996210B1 (ko) * | 2010-04-12 | 2010-11-24 | 세메스 주식회사 | 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법 |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
WO2012002232A1 (ja) | 2010-06-28 | 2012-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置及び方法 |
TWI590335B (zh) | 2010-08-18 | 2017-07-01 | 半導體能源研究所股份有限公司 | 膜形成設備及膜形成方法 |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
CN102031498B (zh) | 2010-12-17 | 2016-05-18 | 中微半导体设备(上海)有限公司 | 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法 |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
NL2006962C2 (nl) | 2011-06-17 | 2012-12-18 | Draka Comteq Bv | Inrichting en werkwijze voor het vervaardigen van een optische voorvorm. |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
US9947512B2 (en) * | 2011-10-25 | 2018-04-17 | Lam Research Corporation | Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber |
US10132008B2 (en) | 2012-02-07 | 2018-11-20 | Mitsubishi Chemical Corporation | Horizontal heat treatment device |
US20130239894A1 (en) * | 2012-03-19 | 2013-09-19 | Pinecone Material Inc. | Chemical vapor deposition apparatus |
US9162236B2 (en) * | 2012-04-26 | 2015-10-20 | Applied Materials, Inc. | Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus |
KR101430657B1 (ko) | 2012-05-29 | 2014-09-23 | 주식회사 에스에프에이 | 원자층 증착장치 |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
KR101832404B1 (ko) | 2012-06-22 | 2018-02-26 | 주식회사 원익아이피에스 | 가스분사장치 및 기판처리장치 |
US9388494B2 (en) * | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
JP5953994B2 (ja) | 2012-07-06 | 2016-07-20 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US20140044889A1 (en) | 2012-08-10 | 2014-02-13 | Globalfoundries Inc. | Methods of making stressed material layers and a system for forming such layers |
KR20140033911A (ko) | 2012-09-11 | 2014-03-19 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 및 증착 방법 |
US9021985B2 (en) * | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10385448B2 (en) * | 2012-09-26 | 2019-08-20 | Applied Materials, Inc. | Apparatus and method for purging gaseous compounds |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
JP6287858B2 (ja) | 2012-12-14 | 2018-03-07 | コニカミノルタ株式会社 | ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP6078354B2 (ja) * | 2013-01-24 | 2017-02-08 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9399228B2 (en) * | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US20140235069A1 (en) | 2013-02-15 | 2014-08-21 | Novellus Systems, Inc. | Multi-plenum showerhead with temperature control |
TWI624560B (zh) | 2013-02-18 | 2018-05-21 | 應用材料股份有限公司 | 用於原子層沉積的氣體分配板及原子層沉積系統 |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US20150030766A1 (en) | 2013-07-25 | 2015-01-29 | Novellus Systems, Inc. | Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline |
TWI769494B (zh) | 2013-08-16 | 2022-07-01 | 美商應用材料股份有限公司 | 用於高溫低壓環境中的延長的電容性耦合的電漿源 |
US9464353B2 (en) | 2013-11-21 | 2016-10-11 | Wonik Ips Co., Ltd. | Substrate processing apparatus |
JP6529973B2 (ja) | 2013-11-26 | 2019-06-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | バッチ処理用傾斜プレート及びその使用方法 |
JP6616070B2 (ja) | 2013-12-01 | 2019-12-04 | ユージェヌス インコーポレイテッド | 誘電性複合体構造の作製方法及び装置 |
TWI654333B (zh) | 2013-12-18 | 2019-03-21 | 美商蘭姆研究公司 | 具有均勻性折流板之半導體基板處理設備 |
JP2017503079A (ja) | 2014-01-05 | 2017-01-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積 |
WO2015106261A1 (en) | 2014-01-13 | 2015-07-16 | Applied Materials, Inc. | Self-aligned double patterning with spatial atomic layer deposition |
KR102135740B1 (ko) | 2014-02-27 | 2020-07-20 | 주식회사 원익아이피에스 | 기판 처리 장치 및 기판 처리 방법 |
US9336997B2 (en) | 2014-03-17 | 2016-05-10 | Applied Materials, Inc. | RF multi-feed structure to improve plasma uniformity |
TW201610215A (zh) | 2014-03-27 | 2016-03-16 | 應用材料股份有限公司 | 用於低熱預算處理的循環尖峰退火化學曝露 |
KR102371535B1 (ko) | 2014-04-18 | 2022-03-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 서셉터 온도 확인을 위한 장치 및 사용 방법들 |
US10249511B2 (en) | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US20150380221A1 (en) | 2014-06-30 | 2015-12-31 | Applied Materials, Inc. | Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source |
US9617638B2 (en) | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
JP6298383B2 (ja) | 2014-08-19 | 2018-03-20 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
TWI696724B (zh) | 2014-09-10 | 2020-06-21 | 美商應用材料股份有限公司 | 空間原子層沈積中的氣體分離控制 |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10273578B2 (en) | 2014-10-03 | 2019-04-30 | Applied Materials, Inc. | Top lamp module for carousel deposition chamber |
US20160138160A1 (en) | 2014-11-18 | 2016-05-19 | Lam Research Corporation | Reactive ultraviolet thermal processing of low dielectric constant materials |
US10253412B2 (en) * | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
US9508547B1 (en) | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US9738977B1 (en) | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
KR20220035192A (ko) * | 2019-07-17 | 2022-03-21 | 램 리써치 코포레이션 | 기판 프로세싱을 위한 산화 프로파일의 변조 |
-
2012
- 2012-10-24 US US13/659,231 patent/US9388494B2/en active Active
-
2013
- 2013-06-24 KR KR1020130072651A patent/KR102177279B1/ko active IP Right Grant
- 2013-06-24 JP JP2013131699A patent/JP6250311B2/ja active Active
- 2013-06-25 CN CN201710251738.9A patent/CN107435140B/zh active Active
- 2013-06-25 CN CN201310256636.8A patent/CN103510072B/zh active Active
- 2013-06-26 TW TW105137740A patent/TWI621735B/zh active
- 2013-06-26 TW TW102122744A patent/TWI571530B/zh active
-
2016
- 2016-06-09 US US15/177,548 patent/US10287683B2/en active Active
-
2017
- 2017-11-22 JP JP2017224196A patent/JP6542862B2/ja active Active
-
2019
- 2019-05-13 US US16/410,057 patent/US11111581B2/en active Active
- 2019-06-13 JP JP2019110244A patent/JP7325235B2/ja active Active
-
2020
- 2020-11-04 KR KR1020200146249A patent/KR102296136B1/ko active IP Right Grant
-
2021
- 2021-08-20 US US17/445,562 patent/US11725282B2/en active Active
- 2021-08-25 KR KR1020210112067A patent/KR102424908B1/ko active IP Right Grant
-
2022
- 2022-06-30 JP JP2022105213A patent/JP7441275B2/ja active Active
- 2022-07-20 KR KR1020220089613A patent/KR102531262B1/ko active IP Right Grant
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5399254A (en) * | 1990-12-14 | 1995-03-21 | Leybold Ag | Apparatus for plasma treatment |
US6149727A (en) * | 1997-10-08 | 2000-11-21 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus |
US8100081B1 (en) * | 2006-06-30 | 2012-01-24 | Novellus Systems, Inc. | Edge removal of films using externally generated plasma species |
CN101657565A (zh) * | 2007-04-17 | 2010-02-24 | 株式会社爱发科 | 成膜装置 |
CN201343570Y (zh) * | 2007-10-16 | 2009-11-11 | 诺发系统有限公司 | 温控喷淋头 |
CN102246274A (zh) * | 2008-10-10 | 2011-11-16 | 奥塔装置公司 | 用于气相沉积的同轴喷头 |
Also Published As
Publication number | Publication date |
---|---|
JP2014012891A (ja) | 2014-01-23 |
KR102296136B1 (ko) | 2021-08-31 |
KR102424908B1 (ko) | 2022-07-22 |
JP6250311B2 (ja) | 2017-12-20 |
US11111581B2 (en) | 2021-09-07 |
JP2018066063A (ja) | 2018-04-26 |
US20160289832A1 (en) | 2016-10-06 |
KR102177279B1 (ko) | 2020-11-11 |
KR102531262B1 (ko) | 2023-05-10 |
TWI571530B (zh) | 2017-02-21 |
JP2019167631A (ja) | 2019-10-03 |
TW201416488A (zh) | 2014-05-01 |
US11725282B2 (en) | 2023-08-15 |
KR20210107585A (ko) | 2021-09-01 |
US20210381106A1 (en) | 2021-12-09 |
CN103510072A (zh) | 2014-01-15 |
JP6542862B2 (ja) | 2019-07-10 |
JP7441275B2 (ja) | 2024-02-29 |
US9388494B2 (en) | 2016-07-12 |
US20130344245A1 (en) | 2013-12-26 |
KR20200130206A (ko) | 2020-11-18 |
CN107435140A (zh) | 2017-12-05 |
US10287683B2 (en) | 2019-05-14 |
KR20220106728A (ko) | 2022-07-29 |
TWI621735B (zh) | 2018-04-21 |
US20190271081A1 (en) | 2019-09-05 |
JP2022130614A (ja) | 2022-09-06 |
JP7325235B2 (ja) | 2023-08-14 |
CN107435140B (zh) | 2019-11-12 |
TW201706451A (zh) | 2017-02-16 |
KR20140000653A (ko) | 2014-01-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103510072B (zh) | 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积 | |
KR102500217B1 (ko) | 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장 | |
KR100862658B1 (ko) | 반도체 처리 시스템의 가스 주입 장치 | |
TWI298747B (en) | Blocker plate bypass to distribute gases in a chemical vapor deposition system | |
KR101659303B1 (ko) | Cvd 챔버의 유동 제어 피쳐 | |
TW201807245A (zh) | 用於提供均勻流動的氣體的設備及方法 | |
US20130306758A1 (en) | Precursor distribution features for improved deposition uniformity | |
JP2022500561A (ja) | ガス吸気システム、原子層堆積装置および方法 | |
US11222771B2 (en) | Chemical control features in wafer process equipment | |
TW202343534A (zh) | 半導體處理腔室適配器 | |
TWM651321U (zh) | 基板處理設備 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |