TWI621735B - 用於操作基板處理系統之方法 - Google Patents

用於操作基板處理系統之方法 Download PDF

Info

Publication number
TWI621735B
TWI621735B TW105137740A TW105137740A TWI621735B TW I621735 B TWI621735 B TW I621735B TW 105137740 A TW105137740 A TW 105137740A TW 105137740 A TW105137740 A TW 105137740A TW I621735 B TWI621735 B TW I621735B
Authority
TW
Taiwan
Prior art keywords
substrate processing
processing system
sleeve
shower head
flushing
Prior art date
Application number
TW105137740A
Other languages
English (en)
Other versions
TW201706451A (zh
Inventor
夏春光
謙德拉瑟哈蘭拉密許
凱爾道格拉斯
奧古斯丁尼亞克愛德華J
里瑟卡爾
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201706451A publication Critical patent/TW201706451A/zh
Application granted granted Critical
Publication of TWI621735B publication Critical patent/TWI621735B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種基板處理系統,包含一噴淋頭,該噴淋頭包含一基部及一桿部且該噴淋頭將前驅氣體運送至一腔室。套管將噴淋頭連接至腔室之上表面。套管包含複數個槽縫,將該套管圍繞噴淋頭之桿部加以配置,並且將沖洗氣體通過複數個槽縫引導進入噴淋頭之基部與腔室上表面之間之一區域。

Description

用於操作基板處理系統之方法
本揭露內容係關於基板處理系統,且更具體地係關於在基板處理系統中寄生沉積之抑制。 [相關申請案的交互參照]
本案主張於2012年6月25日提出申請之美國臨時專利申請案第61/663,802號之優先權。以上申請案之揭露內容藉由參照將其全部併入於此。
本文中所提供的背景描述係以總括地呈現本揭露內容為目的。對於此技術背景部分的描述以及實施態樣,本案發明人之發明不可額外適格作為申請時之先前技術,且並未明示性或暗示性地承認將其作為對於本揭露內容的前案。
基板處理系統,例如電漿增強原子層沉積(PEALD)及電漿增強化學氣相沉積(PECVD)系統,可在基板(如半導體晶片)上將薄膜進行沉積與蝕刻。一些PEALD及PECVD系統包含將前驅物提供至處理腔室之吊燈式噴淋頭。
噴淋頭通常包含延伸進入處理腔室之桿部以及連接於桿部之頭部。一腔形成於頭部與處理腔室上表面之間之頭部背面。換言之,噴淋頭在一腔中造成失效容積。
對於連續性處理如PECVD法而言,該腔並未造成功能上的問題。然而,就暫態性處理,例如保形膜沉積(CFD)或原子層沉積 (ALD) 而言,該腔存儲在隨後步驟中受到清空或交換的化學品。跨越連續步驟中之化學品之存儲可導致隨時間變化之環境污染。減少腔體趨向使噴淋頭(其通常以射頻供電)更靠近腔室之頂表面(其通常為接地)。此可增加與腔室頂表面之射頻耦合。在一些情況下,已增加之射頻耦合可負面地影響點燃電漿的能力。此外在腔區域中停滯化學品之存在亦可導致薄膜之沉積,而最終導致缺陷。
一種基板處理系統,包含一噴淋頭,其包含一基部及一桿部,且該噴淋頭將前驅氣體輸送至一腔室。套管將噴淋頭連接至腔室之上表面。套管包含複數個槽縫,該套管係圍繞噴淋頭之桿部加以配置,並且將沖洗氣體通過複數個槽縫引導進入噴淋頭之基部與腔室的上表面之間的區域。
在其他特徵中,套管包含一基部及一桿部。套管之桿部界定一內腔,其容納噴淋頭之桿部。一板包含一開口,該開口容納噴淋頭之桿部。將板在套管之桿部之下緣與噴淋頭之基部之間加以配置。套管於板與噴淋頭之桿部之間、以及板與噴淋頭之基部之間引導清洗氣體。
在其他特徵中,板之一表面包含複數個第一突起部,以在板與噴淋頭之基部之間提供一致之間距。開口包含複數個第二突起部,以在板與噴淋頭之桿部之間提供一致之間距。
在其他特徵中,套管包含第一通道,該等通道在套管之基部中加以配置。套管包含第二通道,該等通道界定於套管之桿部的內腔之一表面與噴淋頭之桿部之間。沖洗氣體流過該等第一通道至該等第二通道,且從該等第二通道穿過該等槽縫。
在其他特徵中,套管的桿部具有圓形橫剖面。將第一通道配置於套管之基部。將第二通道配置於套管之桿部。沖洗氣體流過第一通道而至第二通道,且從第二通道穿過槽縫。
在其他特徵中,將N個介質板於噴淋頭之基部與腔室之上表面之間,圍繞噴淋頭之桿部加以配置。該套管將該沖洗氣體引導至以下至少一者:N個介電板之上方及下方,及N個介電板之間,其中N為一大於零之整數。
在其他特徵中,該腔界定:複數個第一表面,其以間隔開之關係圍繞第一周邊加以配置;及複數個弧形表面,將其在複數個第一表面之間加以配置。複數個弧形表面相對於複數個第一表面徑向地向外彎曲。
一種用於操作基板處理系統之方法,包含:將前驅氣體使用噴淋頭輸送至腔室,其中該噴淋頭包含一基部及一桿部;將噴淋頭使用套管連接至腔室之上表面;其中將套管圍繞噴淋頭之桿部加以配置;及將沖洗氣體通過套管之槽縫供給進入噴淋頭之基部與腔室上表面之間之一區域。
在其他特徵中,套管包含一基部及一桿部。套管之桿部界定一內腔,其容納噴淋頭之桿部。該方法包含:將板置放於套管之桿部之下緣與噴淋頭之基部之間,該板包含一開口,該開口容納噴淋頭之桿部。
在其他特徵中,該方法包含在板與該噴淋頭之桿部之間、以及板與噴淋頭之基部之間引導沖洗氣體。該方法包含:相對於噴淋頭之基部與桿部使用複數個突起部將板間隔開。該方法包含:將第一通​​道設置於套管之基部中,且將第二通道設置於套管之桿部之腔之內表面與噴淋頭之桿部之間。該方法包含:將沖洗氣體通過第一通道流至第二通道,且從第二通道穿過槽縫。
在其他特徵中,套管之桿部具有圓形之橫剖面。該方法包含將第一通​​道設置於套管之基部中,且將第二通道設置於套管之桿部中,及將沖洗氣體通過第一通道流至第二通道,且從第二通道穿過槽縫。
在其他特徵中,該方法包含在該噴淋頭之該基部與該腔室之表面之間,將N​​個介電板圍繞該噴淋頭之該桿部加以配置,使用該套管將該沖洗氣體引導至以下至少一者:該N個介電板之上方及下方及該N個介電板之間,其中N為一大於零之整數。基板處理腔室執行原子層沉積。
一種方法,包含將一基板配置於一基板處理系統之一腔室中之噴淋頭之下,使用套管將該噴淋頭附接至之該基板處理系統之上表面,將該基板暴露於第一前驅物一第一預定週期;在該第一預定週期後將沖洗氣體流通過主要沖洗路徑及副沖洗路徑。該主要清洗路徑將該沖洗氣體流通過該噴淋頭。該副沖洗路徑將該沖洗氣體流通過該套管之槽縫及該噴淋頭之基部與該上表面之間。該副沖洗路徑清除第一前驅物。該方法包含將該基板暴露於第二前驅物一第二預定週期。
在其他特徵中,該方法包含在第二預定週期後,將沖洗氣體流通過主要沖洗路徑及副沖洗路徑。該副沖洗路徑將在噴淋頭之基部與腔室之上表面之間之第二前驅物清除。該方法包含在第一預定週期期間,將該沖洗氣體流通過該副沖洗路徑。該方法包含在該第二預定週期期間,將該沖洗氣體流通過該副沖洗路徑。
本揭露內容適用之其它領域,自下文中提供之詳細描述將變得顯而易見。但應當理解,詳細描述與具體之範例係以說明為目的,而非限制本揭露內容之範圍。
本揭露內容描述系統及方法,其用於將過剩前驅物自處理腔室清除,並且防止前驅物流進入特定之區域,例如噴淋頭背後之一腔。本揭露內容亦使用一惰性氣體取代昂貴之薄膜前驅物以累積腔室壓力。將較高之腔室壓力充當作前驅物之氣簾,而從而增加在基板區域中前驅物之分壓,同時將其他區域之壓力降低。較高之腔室壓力由於較高之壓力狀態降低寄生電漿之機率。
本揭露內容將軸對稱惰性氣體流自噴淋頭之背面引入。在一些範例中,腔中之清洗氣體流滿足匹列條件 (Peclet condition) (通常大於一之匹列數),以防止前驅物之反擴散(或反流)進入一腔。結果,可將腔室之容積減少,同時將在腔中不想要之沉積降至最低,該不想要之沉積可能難以清潔。可藉由將背面流與射頻隔離/抑制裝置加以結合以達成更進一步的改善。射頻隔離/抑制裝置可將腔中之電場減低,而減低寄生電漿之機率。
在PEALD處理中,需要使前驅物交替地出現於反應腔室中,然後將該前驅物排出。為了防止寄生沉積,在引入下一個前驅物之前,將處理腔室中多餘之前驅物自處理腔室以及共用之前驅物路徑(如噴淋頭之桿部)加以清除。多餘前驅物之清除通常係利用​​惰性氣體,將運送路徑及腔室進行沖洗來達成。
當使用吊燈型噴淋頭時,來自噴淋頭之沖洗氣體無法有效地將截留於噴淋頭背後之多餘的前驅物加以清除。因此,前驅物可在噴淋頭之背面、頂板、及處理腔室壁上造成可觀量之寄生沉積。由於以固體介電質將失效空間 (dead space) 加以填充很可能造成相對於接地之射頻耦合問題,因此無法採用該方法。
在一些範例中,可將腔部分地用陶瓷及/或一系列間隔開的堆疊之碟片加以填充。堆疊之碟片表現為一連串串聯之電容器。當使用間隔開的堆疊之碟片時,產生電容之淨減損(相較於獨立碟片之其中每一者之電容)。受到減損之電容亦減低耦合至腔室壁之射頻。在一些範例中,將碟片間距加以選擇,以防止在碟片之間電漿之形成。在碟片之間之氣體流為理想的,以防止中性前驅物擴散進入這些空間中然後進行沉積(寄生沉積)。
根據本揭露內容,使用副沖洗以防止寄生沉積而不影響工具產量及薄膜品質。此外,副沖洗系統不在本身即難以清潔之區域引入失效容積。
現參照圖1,顯示基板處理系統50之一範例,該基板處理系統50包含具有噴淋頭70之處理腔室60。噴淋頭70包含一桿部72及一頭部74。頭部74界定一內腔75。流體(諸如前驅物或沖洗氣體)通過桿部72流至分散板76上並進入內腔75。之後流體通過頭部74之底表面中間隔開之孔78,並且進入處理腔室。
噴淋頭70之桿部72以套管80連接至處理腔室60之頂壁。套管80具有大致為「T」字形之橫剖面,並且包含一頭部81及一桿部83。套管80界定一內腔84,其為圓柱形且容納噴淋頭70之桿部72。複數個槽縫86形成在桿部83中,以允許流體(例如,沖洗氣體)從內腔84流至桿部83之外表面。
將流體連接件90連接至套管80之頭部81的邊緣91,以用來供應流體(例如,沖洗氣體)。流體連接件90包含一般可見於92處之一或多個導管及/或連接件。套管80之頭部81同樣地包含一般可見於93處之導管及/或連接件,以將流體流引導至套管80之內腔84。
將板100於噴淋頭70之頭部74與套管80之間加以配置。板100包含:一上表面104、一定心開口或孔110、及一底表面114。在一些範例中,板100係以陶瓷製成。可將板100之厚度加以選擇,以將耦合至接地的材料和電容、或寄生電漿之情況降至最低。板100之上表面104自套管80之底緣間隔開,以允許流體通過其間。亦將定心孔110自桿部72間隔開,以允許流體通過其間。將板之底表面114自噴淋頭70上表面間隔開,以允許流體流動其間。在一些範例中,可將板100省略,且可在不具有板100之狀況下操作處理腔室。
將沖洗氣體流通過套管抑制處理沉積化學品進入腔中之區域,以防止該處不想要之薄膜沉積。可將槽縫及其它間隙之尺寸加以選擇以防止在其中之電漿點燃,並且對於所欲之氣體流速能夠滿足匹列條件以防止反擴散。
現參照圖2,顯示套管80之一範例。套管80包含頭部81及桿部83。槽縫86可具有弧形形狀,並可將該等槽縫86圍繞桿部83加以配置。該等槽縫86允許流體自內腔84流通過槽縫86。該頭部81可包含匹配部118,其與流體連接件90上相對應之配合部相配合。當連接時,套管80之導管93與流體連接件90之導管92對準。
現參照圖3,顯示用於套管80之流體連接件90之一範例。雖然顯示流體連接件90包含一第二配合部12​​0、導管130、連接件132、導管134、及連接件136,但流體連接件之其他構造係可設想的。
現參照圖4A及4B,顯示板100之範例。在圖4A中,顯示板100之上表面104具有大致圓形之橫剖面,以及配置於板100中心之定心孔110。定心孔110包含一或多個突起部140,其自定心孔110徑向地向內延伸。突起部140提供板100與桿部72之間一致之間距。在圖4B中,顯示板100之底表面114包含突起部144,該等突起部144相對於處理腔室之頂部向下延伸。該等突起部144提供板100之底表面114與噴淋頭70之頭部74之上表面之間一致的間距。突起部140及144可提供足夠接近之間距,以防止寄生電漿。單作例示而言,在典型之處理條件下適合以約3mm或更小之間距防止寄生電漿。使用典型之處理條件的此間距,電漿沒有足夠空間沿著電漿鞘形成(小於兩個電漿鞘長度)。電漿之形成可受到電漿密度、電漿電子溫度、及整個電漿鞘之電壓所影響。
現參照圖5,顯示圖1中之處理腔室中之流場型態。該流場型態示明在靠近噴淋頭之邊緣流體(例如,沖洗氣體)在沒有再循環的情況下流動。
現參照圖6,顯示處理腔室200之另一範例。將噴淋頭70之桿部72以套管210連接至處理腔室60的頂壁。套管210具有大致「T」字形之橫剖面,並包含一頭部218及一桿部222。套管210界定一腔224,該腔224係以套管210之內壁225自噴淋頭70的桿部72間隔開。將通道227連接至腔224。複數個槽縫226形成於桿部222中,以允許流體(例如沖洗氣體)自腔224通過通道227流至桿部222之外表面。
可將槽縫、通道、及其他間隙之尺寸加以選擇,以具有足夠小之幾何形狀,以防止在其中之電漿點燃,並且對於所欲之氣體流速能夠滿足匹列條件以防止反擴散。
現參照圖7,顯示套管210之一範例。將腔224連接至位於桿部222之外壁與內壁225之間的通道227。通道227與槽縫226流體連通,以允許流體自腔224通過通道227流至槽縫226。亦可設置一或多個孔238,以允許與套管210之連接。當桿部72與套管210非同軸時,套管210具有較對稱之沖洗流。因此套管210對於噴淋頭平坦性 (leveling) 較不敏感。
現參照圖8,顯示套管300之另一示例。套管300包含一頭部310及包含複數個槽縫314之一桿部312。一中央腔318容納噴淋頭70之桿部72。中央腔318包含第一複數個表面320,實質上沿具有第一直徑的圓加以配置。複數個弧形表面322徑向地向外彎曲並穿插於該第一複數個表面320之間,以提供更多容納流體之區域。
現參照圖9,顯示處理腔室400之另一範例。將在處理腔室60的上表面及噴淋頭之頭部之間之腔的部分以材料402加以填充,以減少處理容積。將一或多個板404在噴淋頭70的頭部74之上並且圍繞桿部72加以配置。該等板可以介電材料製成。
由於介電質堆疊中之射頻場仍然很高,板404之間可發生電漿形成(電漿點燃)。在一些範例中,將板404之間的間隙加以選擇俾使其足夠小,以防止「主」電漿 (bulk plasma) 之形成。可使用間隔件408,以在頭部74之上表面上提供一致之間距。可將另一板410配置於腔中。板410可由一導電或介電材料製成,可將其連接至處理腔室60之上表面,且該板大致以與板404平行的方向加以延伸。
單作例示而言,當間隙小於或等於約3mm時,在通常使用之壓力及功率位準下防止電漿形成。然而,可將間隙之大小就板之不同數量、不同壓力、及/或射頻功率位準設為其他量值。可就選定之物種與選定之處理條件,例如溫度、壓力、與射頻功率及頻率,將間隙之大小及介電層或板之數量加以選擇,以防止在半導體處理期間介電層之間之電漿形成。
套管420包含一頭部422及包含複數個槽縫428之一桿部424。中央腔426接收噴淋頭70之桿部72,並提供流體額外的空間流動。流體在中央腔426之中流動,通過槽縫428以及板404與410之間。板404抑制電漿且亦將電漿續存於板之間、套管等各種位置的機率降低。
現參照圖10及11,顯示描繪使用副沖洗之方法之範例的流程圖。在圖10中,顯示第一方法460。在步驟464,將基板暴露於第一前驅物一第一預定週期。在步驟468,當第一預定週期結束時,執行主要沖洗與副沖洗。在步驟472,當主要沖洗及副沖洗完成後,將基板暴露於第二前驅物一第二預定週期。在步驟476,當第二預定週期結束時,可執行主要沖洗與副沖洗。亦可根據需要將額外之處理加以執行。
在圖11中,顯示與方法460類似之方法500。然而,在前驅物暴露步驟其中一或二者期間,亦將副流體流路徑加以操作。可理解地,亦可只在前驅物暴露步驟其中一或二者期間,將副沖洗加以操作。在步驟504,將基板暴露第一前驅物一第一預定週期,同時將流體流通過副沖洗路徑。在步驟508,當第一預定週期結束時,執行主要沖洗與副沖洗。當主要沖洗及副沖洗完成時,在步驟512,將基板暴露於第二前驅物一第二預定週期,同時將流體流通過副沖洗路徑。在步驟516,當第二預定週期結束時,可執行主要沖洗與副沖洗。亦可根據需要將附加之處理加以執行。
根據本揭露內容之系統及方法,使用射頻元件與流元件之組合,以多管齊下的方式打擊寄生沉積。根據本揭露內容之系統及方法,亦提供接近對稱之流量,不致在套管周圍產生額外之寄生電漿。根據本揭露內容之系統及方法對於薄膜產量及非均勻性不具有負面之影響。
根據本揭露內容之系統及方法,結合電漿抑制套件降低有效腔室容積,此舉提供前驅物消耗實質上的減少,而降低了操作成本及沖洗時間。陶瓷板有助於降低電漿產生的機率,並且亦將電漿續存於板之間、套管等各種位置的機率降低,在圖9中,以抑制電漿之板將板100與頂板之間的空間加以填充。
根據本揭露內容之系統及方法亦排除隨時間變化之環境污染及在噴淋頭背後化學作用的消除。
在本質上前文的描述僅為說明性,並非意圖以任何方式限制本揭露內容、其應用、或用途。本揭露內容廣泛教示可以各種形式加以實施。因此,雖然本揭露內容包含特定的範例,本揭露內容之真實範圍不應受限於此,這是由於其它之修改隨著圖式、說明書、及以下專利範圍之研讀,將變得顯而易見。為了清楚起見,將在圖示中使用相同參考數字以識別相似元件。如本文所用的用語「A、B、與C的至少一者」應被解釋為邏輯上之(A或B或C),使用邏輯上非互斥性之「或」。應當理解地,在不改變本揭露內容之原理的情況下,可將方法中一或多個步驟以不同的順序(或同時)加以執行。
50‧‧‧基板處理系統
60‧‧‧處理腔室
70‧‧‧噴淋頭
72‧‧‧桿部
74‧‧‧頭部
75‧‧‧內腔
76‧‧‧分散板
78‧‧‧間隔開之孔
80‧‧‧套管
81‧‧‧頭部
83‧‧‧桿部
84‧‧‧內腔
86‧‧‧槽縫
90‧‧‧流體連接件
92‧‧‧導管
93‧‧‧導管
100‧‧‧板
104‧‧‧上表面
110‧‧‧定心孔/開口
114‧‧‧底表面
118‧‧‧匹配部
120‧‧‧(第二) 配合部
130‧‧‧導管
132‧‧‧連接件
134‧‧‧導管
136‧‧‧連接件
140‧‧‧突起部
144‧‧‧突起部
200‧‧‧處理腔室
210‧‧‧套管
218‧‧‧頭部
222‧‧‧桿部
224‧‧‧腔
225‧‧‧內壁
226‧‧‧槽縫
227‧‧‧通道
238‧‧‧孔
300‧‧‧套管
310‧‧‧頭部
312‧‧‧桿部
314‧‧‧槽縫
318‧‧‧中央腔
320‧‧‧第一複數個表面
322‧‧‧複數個弧形表面
400‧‧‧處理腔室
402‧‧‧材料
404‧‧‧板
408‧‧‧間隔件
410‧‧‧板
420‧‧‧套管
422‧‧‧基部
424‧‧‧桿部
426‧‧‧中央腔
428‧‧‧槽縫
本揭露內容藉詳細描述與隨附圖式將變得更充分地理解,其中:
圖1為根據本揭露內容,具有噴淋頭及主要與副沖洗 (流) 路徑之處理腔室之一範例的剖面圖;
圖2為根據本揭露內容,套管之一範例的立體圖;
圖3為根據本揭露內容,用於圖2中套管之流體連接件的立體圖;
圖4A及圖4B為根據本揭露內容,板之範例的俯視圖及仰視圖;
圖5為根據本揭露內容,圖1中處理腔室之流場型態;
圖6為根據本揭露內容,具有噴淋頭以及副沖洗 (流) 路徑之處理腔室之另一範例的剖面圖;
圖7為根據本揭露內容,套管之另一範例的立體圖;
圖8為根據本揭露內容,套管之另一範例的立體圖;
圖9為根據本揭露內容,具有噴淋頭及副沖洗 (流) 路徑之處理腔室之又另一範例的剖面圖;
圖10及圖11為流程圖,描繪根據本揭露內容使用副沖洗系統之方法的範例。

Claims (13)

  1. 一種用於操作基板處理系統之方法,包含:將前驅氣體使用一噴淋頭輸送至一腔室,其中該噴淋頭包含一基部及一桿部;將該噴淋頭使用一套管連接至該腔室之一上表面,其中該套管包含一基部及一桿部,且其中該套管之該桿部界定一內腔,該內腔容納該噴淋頭之該桿部,將一沖洗氣體通過該套管之槽縫供給進入該噴淋頭之該基部與該腔室之該上表面之間之一區域。
  2. 如申請專利範圍第1項之用於操作基板處理系統之方法,更包含:將一板置放於該套管之該桿部之下緣與該噴淋頭之該基部之間,該板包含一開口,該開口容納該噴淋頭之桿部。
  3. 如申請專利範圍第2項之用於操作基板處理系統之方法,更包含:在該板與該噴淋頭之該桿部之間、以及該板與該噴淋頭之該基部之間引導該沖洗氣體。
  4. 如申請專利範圍第2項之用於操作基板處理系統之方法,更包含:相對於該噴淋頭之該基部與該桿部使用複數個突起部將該板間隔開。
  5. 如申請專利範圍第1項之用於操作基板處理系統之方法,更包含: 將第一通道設置於該套管之該基部中,且將第二通道設置於該套管之該桿部之該內腔之一內表面與該噴淋頭之該桿部之間;及將該沖洗氣體通過該等第一通道流至該等第二通道,且從該等第二通道穿過該等槽縫。
  6. 如申請專利範圍第1項之用於操作基板處理系統之方法,其中該套管之該桿部具有一圓形之橫剖面。
  7. 如申請專利範圍第1項之用於操作基板處理系統之方法,更包含:將第一通道設置於該套管之該基部中,且將第二通道設置於該套管之該桿部中;及將該沖洗氣體通過該等第一通道流至該等第二通道,且從該等第二通道穿過該等槽縫。
  8. 如申請專利範圍第1項之用於操作基板處理系統之方法,更包含:在該噴淋頭之該基部與該腔室之表面之間,將N個介電板圍繞該噴淋頭之該桿部加以配置;使用該套管將該沖洗氣體引導至以下至少一者:該N個介電板之上方及下方;及該N個介電板之間,其中N為一大於零之整數。
  9. 如申請專利範圍第1項之用於操作基板處理系統之方法,其中該基板處理系統執行原子層沉積。
  10. 一種用於操作基板處理系統之方法,包含:將一基板配置於該基板處理系統之一腔室中,其中該基板處理系統包含一噴淋頭,該噴淋頭使用一套管將其附接至之該基板處理系統之一上表面;將該基板暴露於一第一前驅物一第一預定週期;在該第一預定週期後將沖洗氣體流通過一主要沖洗路徑及一副沖洗路徑,其中該主要沖洗路徑將該沖洗氣體流通過該噴淋頭,其中該副沖洗路徑將該沖洗氣體流通過該套管之槽縫、及該噴淋頭之基部與該上表面之間,及其中,該副沖洗路徑將該第一前驅物移除;及將該基板暴露於一第二前驅物一第二預定週期。
  11. 如申請專利範圍第10項之用於操作基板處理系統之方法,更包含:在該第二預定週期後,將該沖洗氣體流通過該主要沖洗路徑及該副沖洗路徑,其中,該副沖洗路徑將在該噴淋頭之該基部與該腔室之該上表面之間之該第二前驅物清除。
  12. 如專利申請範圍第10項之用於操作基板處理系統之方法,更包含在該第一預定週期期間,將該沖洗氣體流通過該副沖洗路徑。
  13. 如專利申請範圍第11項之用於操作基板處理系統之方法,更包含在該第二預定週期期間,將該沖洗氣體流通過該副沖洗路徑。
TW105137740A 2012-06-25 2013-06-26 用於操作基板處理系統之方法 TWI621735B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261663802P 2012-06-25 2012-06-25
US13/659,231 US9388494B2 (en) 2012-06-25 2012-10-24 Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US13/659,231 2012-10-24

Publications (2)

Publication Number Publication Date
TW201706451A TW201706451A (zh) 2017-02-16
TWI621735B true TWI621735B (zh) 2018-04-21

Family

ID=49774680

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102122744A TWI571530B (zh) 2012-06-25 2013-06-26 藉由抑制基板區域外部之前驅物流量及電漿而抑制基板處理系統中之寄生沉積
TW105137740A TWI621735B (zh) 2012-06-25 2013-06-26 用於操作基板處理系統之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102122744A TWI571530B (zh) 2012-06-25 2013-06-26 藉由抑制基板區域外部之前驅物流量及電漿而抑制基板處理系統中之寄生沉積

Country Status (5)

Country Link
US (4) US9388494B2 (zh)
JP (4) JP6250311B2 (zh)
KR (4) KR102177279B1 (zh)
CN (2) CN107435140B (zh)
TW (2) TWI571530B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140026816A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6591735B2 (ja) * 2014-08-05 2019-10-16 株式会社Fuji プラズマ発生装置
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10157755B2 (en) 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
CN105506581B (zh) * 2015-12-15 2019-03-19 北京北方华创微电子装备有限公司 一种应用原子层沉积技术制备薄膜的实现方法
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10535505B2 (en) 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积系统及方法
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
CN109868458B (zh) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
CN112955997B (zh) 2018-11-21 2024-04-05 应用材料公司 用于使用相位控制来调整等离子体分布的设备及方法
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
KR102486904B1 (ko) 2022-04-28 2023-01-11 주식회사 다담테크 코팅된 능동형 무전원 소화캡슐 조성물 제조방법 및 이를 이용한 소화 방재용 조성물

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW495801B (en) * 1998-10-26 2002-07-21 Tokyo Electron Ltd Semiconductor device fabricating method and system for carrying out the same
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
TW200932945A (en) * 2007-09-28 2009-08-01 Tokyo Electron Ltd Gas supplying apparatus
US20110198417A1 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead

Family Cites Families (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2124940A (en) * 1937-11-08 1938-07-26 John S Zink Combination oil and gas burner
US2679821A (en) * 1948-03-27 1954-06-01 Gen Electric Burner for coating hollow glassware
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
FR2628984B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
JPH01309973A (ja) 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JPH02222134A (ja) 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
DE4039930A1 (de) * 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
EP0537854B1 (en) 1991-10-18 1997-09-10 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device whereby a layer of material is deposited on the surface of a semiconductor wafer from a process gas
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
EP0753082B1 (de) * 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08115879A (ja) * 1994-10-13 1996-05-07 Toshiba Corp 半導体製造装置
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5580387A (en) * 1995-06-28 1996-12-03 Electronics Research & Service Organization Corrugated waveguide for a microwave plasma applicator
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5954881A (en) * 1997-01-28 1999-09-21 Northrop Grumman Corporation Ceiling arrangement for an epitaxial growth reactor
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
JP3641115B2 (ja) * 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
US6794308B2 (en) * 1998-01-07 2004-09-21 Texas Instruments Incorporated Method for reducing by-product deposition in wafer processing equipment
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6312568B2 (en) 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
KR100722592B1 (ko) 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US7436599B2 (en) * 2001-05-14 2008-10-14 Olympus Corporation Electronic image pickup system
GB0112781D0 (en) 2001-05-25 2001-07-18 Global Continuity Plc Method for rapid recovery from a network file server failure
JP3990881B2 (ja) 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003034477A1 (en) * 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP3982402B2 (ja) 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100476370B1 (ko) 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
JP4880175B2 (ja) 2002-12-06 2012-02-22 富士通株式会社 気相成長装置及び気相成長方法
EP1613792B1 (en) 2003-03-14 2014-01-01 Genus, Inc. Methods and apparatus for atomic layer deposition
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR100616486B1 (ko) 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
DE102005056320A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
KR20070098104A (ko) 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US8715455B2 (en) 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
US7965546B2 (en) * 2007-04-26 2011-06-21 Super Talent Electronics, Inc. Synchronous page-mode phase-change memory with ECC and RAM cache
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN101796481B (zh) * 2007-08-31 2012-07-04 应用材料公司 光电生产线
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP2009071017A (ja) 2007-09-13 2009-04-02 Nuflare Technology Inc 気相成長装置及び気相成長方法
KR100923453B1 (ko) 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
JP4933409B2 (ja) 2007-11-29 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
EP2235657B1 (en) * 2007-12-21 2014-11-26 Motorola Mobility LLC System and method for preventing unauthorised use of digital media
JP2009149951A (ja) 2007-12-21 2009-07-09 Mitsubishi Heavy Ind Ltd 製膜装置の膜厚調整方法
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP2010016225A (ja) 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8465802B2 (en) * 2008-07-17 2013-06-18 Gang Li Chemical vapor deposition reactor and method
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
DE102008055582A1 (de) * 2008-12-23 2010-06-24 Aixtron Ag MOCVD-Reaktor mit zylindrischem Gaseinlassorgan
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
CN102087955B (zh) 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US20110195202A1 (en) 2010-02-11 2011-08-11 Applied Materials, Inc. Oxygen pump purge to prevent reactive powder explosion
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
WO2012002232A1 (ja) 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102031498B (zh) 2010-12-17 2016-05-18 中微半导体设备(上海)有限公司 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
KR101552127B1 (ko) 2012-02-07 2015-09-10 미쯔비시 레이온 가부시끼가이샤 횡형 열처리장치
US20130239894A1 (en) * 2012-03-19 2013-09-19 Pinecone Material Inc. Chemical vapor deposition apparatus
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR101430657B1 (ko) 2012-05-29 2014-09-23 주식회사 에스에프에이 원자층 증착장치
KR101832404B1 (ko) 2012-06-22 2018-02-26 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR102217790B1 (ko) * 2012-09-26 2021-02-18 어플라이드 머티어리얼스, 인코포레이티드 기체 화합물들을 퍼징하기 위한 장치 및 방법
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
WO2014092085A1 (ja) 2012-12-14 2014-06-19 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
WO2015023945A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US9464353B2 (en) 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
CN105765697B (zh) 2013-11-26 2020-03-17 应用材料公司 用于批处理的倾斜板及其使用方法
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
JP6692754B2 (ja) 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102421679B1 (ko) 2014-04-18 2022-07-14 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6298383B2 (ja) 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
CN114402416A (zh) * 2019-07-17 2022-04-26 朗姆研究公司 用于衬底处理的氧化分布调节

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW495801B (en) * 1998-10-26 2002-07-21 Tokyo Electron Ltd Semiconductor device fabricating method and system for carrying out the same
TW200932945A (en) * 2007-09-28 2009-08-01 Tokyo Electron Ltd Gas supplying apparatus
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
US20110198417A1 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements

Also Published As

Publication number Publication date
KR20210107585A (ko) 2021-09-01
TW201416488A (zh) 2014-05-01
TW201706451A (zh) 2017-02-16
KR102424908B1 (ko) 2022-07-22
KR102531262B1 (ko) 2023-05-10
CN107435140A (zh) 2017-12-05
JP6542862B2 (ja) 2019-07-10
KR20220106728A (ko) 2022-07-29
JP2018066063A (ja) 2018-04-26
JP2014012891A (ja) 2014-01-23
US11111581B2 (en) 2021-09-07
US11725282B2 (en) 2023-08-15
KR20200130206A (ko) 2020-11-18
KR20140000653A (ko) 2014-01-03
US20190271081A1 (en) 2019-09-05
JP7325235B2 (ja) 2023-08-14
US10287683B2 (en) 2019-05-14
CN103510072B (zh) 2017-05-17
JP7441275B2 (ja) 2024-02-29
JP2022130614A (ja) 2022-09-06
CN103510072A (zh) 2014-01-15
JP2019167631A (ja) 2019-10-03
KR102177279B1 (ko) 2020-11-11
CN107435140B (zh) 2019-11-12
TWI571530B (zh) 2017-02-21
US20210381106A1 (en) 2021-12-09
US9388494B2 (en) 2016-07-12
JP6250311B2 (ja) 2017-12-20
US20130344245A1 (en) 2013-12-26
KR102296136B1 (ko) 2021-08-31
US20160289832A1 (en) 2016-10-06

Similar Documents

Publication Publication Date Title
TWI621735B (zh) 用於操作基板處理系統之方法
JP7028956B2 (ja) プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
KR102208576B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
KR102166922B1 (ko) 다수의 플라즈마 구성들을 갖는 반도체 프로세싱 시스템들
TWI539025B (zh) 用於短生命週期物種之具有內建電漿源的製程腔室蓋設計
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP2015225856A (ja) ガス分配装置およびこれを備える基板処理装置
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
JP2004172622A (ja) 半導体処理システムのガス注入装置
JP2006245533A (ja) 高密度プラズマ化学気相蒸着装置
TWI809958B (zh) 半導體處理腔室適配器