JP6542862B2 - 基板処理システムを動作させるための方法 - Google Patents
基板処理システムを動作させるための方法 Download PDFInfo
- Publication number
- JP6542862B2 JP6542862B2 JP2017224196A JP2017224196A JP6542862B2 JP 6542862 B2 JP6542862 B2 JP 6542862B2 JP 2017224196 A JP2017224196 A JP 2017224196A JP 2017224196 A JP2017224196 A JP 2017224196A JP 6542862 B2 JP6542862 B2 JP 6542862B2
- Authority
- JP
- Japan
- Prior art keywords
- collar
- showerhead
- stem portion
- chamber
- passage
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4558—Perforated rings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/513—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Description
本願は、2012年6月25日出願の米国仮特許出願第61/663,802号の利益を主張する。上記の仮出願の開示は、参照によってその全体が本明細書に組み込まれる。
また、本発明は、以下の適用例としても実現可能である。
[適用例1]
基板処理システムであって、
ベース部およびステム部を備え、前駆体ガスをチャンバに供給するシャワーヘッドと、
前記シャワーヘッドを前記チャンバの上面に結合するカラーと、
を備え、
前記カラーは、複数のスロットを備え、前記シャワーヘッドの前記ステム部の周りに配置され、前記シャワーヘッドの前記ベース部と前記チャンバの前記上面との間の領域内に前記複数のスロットを通してパージガスを方向付ける、基板処理システム。
[適用例2]
適用例1に記載の基板処理システムであって、
前記カラーは、ベース部およびステム部を備え、
前記カラーの前記ステム部は、前記シャワーヘッドの前記ステム部を受け入れる内部空洞を規定する、基板処理システム。
[適用例3]
適用例2に記載の基板処理システムであって、さらに、
前記シャワーヘッドの前記ステム部を受け入れる開口部を備えたプレートを備え、
前記プレートは、前記カラーの前記ステム部の下縁と前記シャワーヘッドの前記ベース部との間に配置される、基板処理システム。
[適用例4]
適用例3に記載の基板処理システムであって、前記カラーは、前記プレートと前記シャワーヘッドの前記ステム部との間、および、前記プレートと前記シャワーヘッドの前記ベース部との間に、前記パージガスを方向付ける、基板処理システム。
[適用例5]
適用例3に記載の基板処理システムであって、前記プレートの1つの表面が、前記プレートと前記シャワーヘッドの前記ベース部との間に均一な間隔を提供するための複数の第1の突起を備え、前記開口部は、前記プレートと前記シャワーヘッドの前記ステム部との間に均一な間隔を提供するための複数の第2の突起を備える、基板処理システム。
[適用例6]
適用例2に記載の基板処理システムであって、
前記カラーは、前記カラーの前記ベース部に配置された第1の通路を備え、
前記カラーは、前記カラーの前記ステム部の前記内部空洞の表面と前記シャワーヘッドの前記ステム部との間に規定された第2の通路を備え、
前記パージガスは、前記第1の通路を通って前記第2の通路に流れ、前記第2の通路から前記スロットを通って流れる、基板処理システム。
[適用例7]
適用例2に記載の基板処理システムであって、前記カラーの前記ステム部は、円形の断面を有する、基板処理システム。
[適用例8]
適用例2に記載の基板処理システムであって、
前記カラーは、前記カラーの前記ベース部に配置された第1の通路を備え、
前記カラーは、前記カラーの前記ステム部に配置された第2の通路を備え、
前記パージガスは、前記第1の通路を通って前記第2の通路に流れ、前記第2の通路から前記スロットを通って流れる、基板処理システム。
[適用例9]
適用例1に記載の基板処理システムであって、さらに、
前記シャワーヘッドの前記ベース部と前記チャンバの前記上面との間で前記シャワーヘッドの前記ステム部の周りに配置されたN個の誘電体プレートを備え、
前記カラーは、前記N個の誘電体プレートの上方および下方ならびに前記N個の誘電体プレートの間の内の少なくとも一箇所に前記パージガスを方向付け、
Nはゼロより大きい整数である、基板処理システム。
[適用例10]
適用例2に記載の基板処理システムであって、前記空洞は、第1の周囲の周りに互いに離間して配置された複数の第1の面と、前記複数の第1の面の間に配置された複数のアーチ形の面とを規定し、
前記複数のアーチ形の面は、前記複数の第1の面から半径方向外向きに湾曲している、基板処理システム。
[適用例11]
適用例1に記載の基板処理システムを備える原子層蒸着システム。
[適用例12]
基板処理システムを動作させるための方法であって、
ベース部およびステム部を備えたシャワーヘッドを用いて、前駆体ガスをチャンバに供給する工程と、
前記シャワーヘッドの前記ステム部の周りに配置されたカラーを用いて、前記シャワーヘッドを前記チャンバの上面に結合する工程と、
前記カラーのスロットを通して前記シャワーヘッドの前記ベース部と前記チャンバの前記上面との間の領域にパージガスを供給する工程と、
を備える、方法。
[適用例13]
適用例12に記載の方法であって、
前記カラーは、ベース部およびステム部を備え、
前記カラーの前記ステム部は、前記シャワーヘッドの前記ステム部を受け入れる内部空洞を規定する、方法。
[適用例14]
適用例13に記載の方法であって、さらに、
前記シャワーヘッドの前記ステム部を受け入れる開口部を備えたプレートを、前記カラーの前記ステム部の下縁と前記シャワーヘッドの前記ベース部との間に配置する工程を備える、方法。
[適用例15]
適用例14に記載の方法であって、さらに、前記プレートと前記シャワーヘッドの前記ステム部との間、および、前記プレートと前記シャワーヘッドの前記ベース部との間に、前記パージガスを方向付ける工程を備える、方法。
[適用例16]
適用例14に記載の方法であって、さらに、
複数の突起を用いて、前記シャワーヘッドの前記ベース部および前記ステム部から前記プレートを離間する工程を備える、方法。
[適用例17]
適用例13に記載の方法であって、さらに、
前記カラーの前記ベース部に第1の通路を提供すると共に、前記カラーの前記ステム部の前記空洞の内面と前記シャワーヘッドの前記ステム部との間に第2の通路を提供する工程と、
前記第1の通路を通して前記第2の通路に、次いで、前記第2の通路から前記スロットを通して、前記パージガスを流す工程と、
を備える、方法。
[適用例18]
適用例13に記載の方法であって、前記カラーの前記ステム部は、円形の断面を有する、方法。
[適用例19]
適用例13に記載の方法であって、さらに、
前記カラーの前記ベース部に第1の通路を提供すると共に、前記カラーの前記ステム部に第2の通路を提供する工程と、
前記第1の通路を通して前記第2の通路に、次いで、前記第2の通路から前記スロットを通して、前記パージガスを流す工程と、
を備える、方法。
[適用例20]
適用例12に記載の方法であって、さらに、
前記シャワーヘッドの前記ベース部と前記チャンバの表面との間で前記シャワーヘッドの前記ステム部の周りにN個の誘電体プレートを配置する工程と、
前記カラーを用いて、前記N個の誘電体プレートの上方および下方ならびに前記N個の誘電体プレートの間の内の少なくとも一箇所に前記パージガスを方向付ける工程と、
を備え、
Nはゼロより大きい整数である、方法。
[適用例21]
適用例12に記載の方法であって、前記基板処理チャンバは原子層蒸着を実行する、方法。
[適用例22]
方法であって、
基板処理システムのチャンバ内に基板を配置する工程であって、
前記基板処理システムは、カラーを用いて前記基板処理システムの上面に取り付けられたシャワーヘッドを備える、工程と、
第1の所定の期間にわたって前記基板を第1の前駆体に暴露させる工程と、
前記第1の所定の期間後に、主パージ路および補助パージ路を通してパージガスを流す工程であって、
前記主パージ路は、前記シャワーヘッドを通して前記パージガスを流し、
前記補助パージ路は、前記カラーのスロットを通して前記シャワーヘッドのベース部と前記上面との間に前記パージガスを流し、
前記補助パージ路は、前記第1の前駆体を除去する、工程と、
第2の所定の期間にわたって前記基板を第2の前駆体に暴露させる工程と、
を備える、方法。
[適用例23]
適用例22に記載の方法であって、さらに、
前記第2の所定の期間後に、前記主パージ路および前記補助パージ路を通して前記パージガスを流す工程を備え、
前記補助パージ路は、前記シャワーヘッドの前記ベース部と前記チャンバの前記上面との間の前記第2の前駆体を除去する、方法。
[適用例24]
適用例22に記載の方法であって、さらに、前記第1の所定の期間中に前記補助パージ路を通して前記パージガスを流す工程を備える、方法。
[適用例25]
適用例23に記載の方法であって、さらに、前記第2の所定の期間中に前記補助パージ路を通して前記パージガスを流す工程を備える、方法。
Claims (14)
- 基板処理システムを動作させるための方法であって、
ヘッド部およびステム部を備えたシャワーヘッドを用いて、前駆体ガスをチャンバに供給する工程と、
カラーを用いて、前記シャワーヘッドを前記チャンバの上面に結合する工程であって、
前記カラーは、前記チャンバの前記上面から、前記シャワーヘッドの前記ヘッド部と前記チャンバの前記上面との間の領域内に至るように下方に延び、
前記カラーは、前記シャワーヘッドの前記ステム部の周りに配置されて、(i)前記カラーと前記シャワーヘッドの前記ステム部との間の内部空洞と、(ii)前記カラー内部の通路と、のうちの少なくとも一方を規定する、工程と、
前記内部空洞と前記通路とのうちの少なくとも一方に、及び、半径方向外向きに、前記カラーのスロットを通して前記シャワーヘッドの前記ヘッド部と前記チャンバの前記上面との間の前記領域にパージガスを供給する工程と、
を備える、方法。 - 請求項1に記載の方法であって、
前記カラーは、ベース部およびステム部を備え、
前記カラーの前記ステム部は、前記シャワーヘッドの前記ステム部を受け入れる前記内部空洞を規定する、方法。 - 請求項2に記載の方法であって、さらに、
前記シャワーヘッドの前記ステム部を受け入れる開口部を備えたプレートを、前記カラーの前記ステム部の下縁と前記シャワーヘッドの前記ヘッド部との間に配置する工程を備える、方法。 - 請求項3に記載の方法であって、さらに、前記プレートと前記シャワーヘッドの前記ステム部との間、および、前記プレートと前記シャワーヘッドの前記ヘッド部との間に、前記パージガスを方向付ける工程を備える、方法。
- 基板処理システムを動作させるための方法であって、
ヘッド部およびステム部を備えるシャワーヘッドを用いて、前駆体ガスをチャンバに供給する工程と、
前記シャワーヘッドの前記ステム部の周りに配置されたカラーを用いて、前記シャワーヘッドを前記チャンバの上面に結合する工程と、
前記カラーのスロットを通して前記シャワーヘッドの前記ヘッド部と前記チャンバの前記上面との間の領域にパージガスを供給する工程であって、
前記カラーは、ベース部およびステム部を備え、
前記カラーの前記ステム部は、前記シャワーヘッドの前記ステム部を受け入れる内部空洞を規定する、工程と、
前記シャワーヘッドの前記ステム部を受け入れる開口部を備えたプレートを、前記カラーの前記ステム部の下縁と前記シャワーヘッドの前記ヘッド部との間に配置する工程と、
複数の突起を用いて、前記シャワーヘッドの前記ヘッド部および前記ステム部から前記プレートを離間する工程を備える、方法。 - 請求項2に記載の方法であって、
前記カラー内部の前記通路は、前記カラーの前記ベース部に第1の通路、および、前記カラーの前記ステム部の前記内部空洞の内面と前記シャワーヘッドの前記ステム部との間に第2の通路を含み、
前記パージガスは、前記第1の通路を通して前記第2の通路に、次いで、前記第2の通路から前記スロットを通して流れる、方法。 - 請求項2に記載の方法であって、前記カラーの前記ステム部は、円形の断面を有する、方法。
- 請求項2に記載の方法であって、
前記カラー内部の前記通路は、前記カラーの前記ベース部に第1の通路、および、前記カラーの前記ステム部に第2の通路を含み、
前記パージガスは、前記第1の通路を通して前記第2の通路に、次いで、前記第2の通路から前記スロットを通して流れる、方法。 - 請求項1に記載の方法であって、さらに、
前記シャワーヘッドの前記ヘッド部と前記チャンバの表面との間で前記シャワーヘッドの前記ステム部の周りにN個の誘電体プレートを配置する工程と、
前記カラーを用いて、前記N個の誘電体プレートの上方および下方ならびに前記N個の誘電体プレートの間の内の少なくとも一箇所に前記パージガスを方向付ける工程と、
を備え、
Nはゼロより大きい整数である、方法。 - 請求項1に記載の方法であって、前記基板処理システムは原子層蒸着を実行する、方法。
- 方法であって、
基板処理システムのチャンバ内に基板を配置する工程であって、
前記基板処理システムは、カラーを用いて前記基板処理システムの前記チャンバの上面に取り付けられたシャワーヘッドを備え、
前記カラーは、前記チャンバの前記上面から、前記シャワーヘッドのヘッド部と前記チャンバの前記上面との間の領域内に至るように下方に延び、
前記カラーは、(i)前記カラーと前記シャワーヘッドのステム部との間の内部空洞と、(ii)前記カラー内部の通路と、のうちの少なくとも一方を規定する、工程と、
第1の所定の期間にわたって前記基板を第1の前駆体に暴露させる工程と、
前記第1の所定の期間後に、主パージ路および補助パージ路を通してパージガスを流す工程であって、
前記主パージ路は、前記シャワーヘッドを通して前記パージガスを流し、
前記補助パージ路は、前記内部空洞と前記通路のうちの少なくとも一方に、及び、半径方向外向きに、前記カラーのスロットを通して前記シャワーヘッドのヘッド部と前記上面との間に前記パージガスを流し、
前記補助パージ路は、前記第1の前駆体を除去する、工程と、
第2の所定の期間にわたって前記基板を第2の前駆体に暴露させる工程と、
を備える、方法。 - 請求項11に記載の方法であって、さらに、
前記第2の所定の期間後に、前記主パージ路および前記補助パージ路を通して前記パージガスを流す工程を備え、
前記補助パージ路は、前記シャワーヘッドの前記ヘッド部と前記チャンバの前記上面との間の前記第2の前駆体を除去する、方法。 - 請求項11に記載の方法であって、さらに、前記第1の所定の期間中に前記補助パージ路を通して前記パージガスを流す工程を備える、方法。
- 請求項12に記載の方法であって、さらに、前記第2の所定の期間中に前記補助パージ路を通して前記パージガスを流す工程を備える、方法。
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2019110244A JP7325235B2 (ja) | 2012-06-25 | 2019-06-13 | 基板処理システム及び装置 |
JP2022105213A JP7441275B2 (ja) | 2012-06-25 | 2022-06-30 | 基板処理システム及び基板処理システムを動作させるための方法 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261663802P | 2012-06-25 | 2012-06-25 | |
US61/663,802 | 2012-06-25 | ||
US13/659,231 US9388494B2 (en) | 2012-06-25 | 2012-10-24 | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US13/659,231 | 2012-10-24 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013131699A Division JP6250311B2 (ja) | 2012-06-25 | 2013-06-24 | 基板処理システム及び原子層蒸着システム |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019110244A Division JP7325235B2 (ja) | 2012-06-25 | 2019-06-13 | 基板処理システム及び装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2018066063A JP2018066063A (ja) | 2018-04-26 |
JP6542862B2 true JP6542862B2 (ja) | 2019-07-10 |
Family
ID=49774680
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013131699A Active JP6250311B2 (ja) | 2012-06-25 | 2013-06-24 | 基板処理システム及び原子層蒸着システム |
JP2017224196A Active JP6542862B2 (ja) | 2012-06-25 | 2017-11-22 | 基板処理システムを動作させるための方法 |
JP2019110244A Active JP7325235B2 (ja) | 2012-06-25 | 2019-06-13 | 基板処理システム及び装置 |
JP2022105213A Active JP7441275B2 (ja) | 2012-06-25 | 2022-06-30 | 基板処理システム及び基板処理システムを動作させるための方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013131699A Active JP6250311B2 (ja) | 2012-06-25 | 2013-06-24 | 基板処理システム及び原子層蒸着システム |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019110244A Active JP7325235B2 (ja) | 2012-06-25 | 2019-06-13 | 基板処理システム及び装置 |
JP2022105213A Active JP7441275B2 (ja) | 2012-06-25 | 2022-06-30 | 基板処理システム及び基板処理システムを動作させるための方法 |
Country Status (5)
Country | Link |
---|---|
US (4) | US9388494B2 (ja) |
JP (4) | JP6250311B2 (ja) |
KR (4) | KR102177279B1 (ja) |
CN (2) | CN103510072B (ja) |
TW (2) | TWI621735B (ja) |
Families Citing this family (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US20140026816A1 (en) * | 2012-07-27 | 2014-01-30 | Applied Materials, Inc. | Multi-zone quartz gas distribution apparatus |
US9399228B2 (en) * | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9991153B2 (en) * | 2013-03-14 | 2018-06-05 | Applied Materials, Inc. | Substrate support bushing |
JP5859583B2 (ja) * | 2014-01-30 | 2016-02-10 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US9617638B2 (en) * | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
JP6591735B2 (ja) * | 2014-08-05 | 2019-10-16 | 株式会社Fuji | プラズマ発生装置 |
US9793096B2 (en) | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10177024B2 (en) * | 2015-05-12 | 2019-01-08 | Lam Research Corporation | High temperature substrate pedestal module and components thereof |
US10253412B2 (en) * | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
US10378107B2 (en) * | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9508547B1 (en) | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US10403515B2 (en) * | 2015-09-24 | 2019-09-03 | Applied Materials, Inc. | Loadlock integrated bevel etcher system |
US10157755B2 (en) | 2015-10-01 | 2018-12-18 | Lam Research Corporation | Purge and pumping structures arranged beneath substrate plane to reduce defects |
CN105506581B (zh) * | 2015-12-15 | 2019-03-19 | 北京北方华创微电子装备有限公司 | 一种应用原子层沉积技术制备薄膜的实现方法 |
US9758868B1 (en) | 2016-03-10 | 2017-09-12 | Lam Research Corporation | Plasma suppression behind a showerhead through the use of increased pressure |
US9738977B1 (en) * | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US10128116B2 (en) * | 2016-10-17 | 2018-11-13 | Lam Research Corporation | Integrated direct dielectric and metal deposition |
US10535505B2 (en) | 2016-11-11 | 2020-01-14 | Lam Research Corporation | Plasma light up suppression |
KR102546317B1 (ko) * | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11004722B2 (en) | 2017-07-20 | 2021-05-11 | Applied Materials, Inc. | Lift pin assembly |
US20190032211A1 (en) * | 2017-07-28 | 2019-01-31 | Lam Research Corporation | Monolithic ceramic gas distribution plate |
CN109321894B (zh) * | 2017-07-31 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 一种增强清洗效果的沉积系统及方法 |
US10927459B2 (en) * | 2017-10-16 | 2021-02-23 | Asm Ip Holding B.V. | Systems and methods for atomic layer deposition |
CN109868458B (zh) * | 2017-12-05 | 2021-12-17 | 北京北方华创微电子装备有限公司 | 一种半导体设备的清洗系统及清洗方法 |
US11535936B2 (en) * | 2018-07-23 | 2022-12-27 | Lam Research Corporation | Dual gas feed showerhead for deposition |
KR20210080555A (ko) | 2018-11-21 | 2021-06-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법 |
CN113597479A (zh) * | 2019-03-11 | 2021-11-02 | 朗姆研究公司 | 用于清洁等离子体室的设备 |
CN110904438A (zh) * | 2019-12-04 | 2020-03-24 | 沈阳拓荆科技有限公司 | 用于多种化学源之气体分配装置 |
KR102486904B1 (ko) | 2022-04-28 | 2023-01-11 | 주식회사 다담테크 | 코팅된 능동형 무전원 소화캡슐 조성물 제조방법 및 이를 이용한 소화 방재용 조성물 |
Family Cites Families (204)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2124940A (en) * | 1937-11-08 | 1938-07-26 | John S Zink | Combination oil and gas burner |
US2679821A (en) * | 1948-03-27 | 1954-06-01 | Gen Electric | Burner for coating hollow glassware |
US3621812A (en) * | 1969-06-18 | 1971-11-23 | Texas Instruments Inc | Epitaxial deposition reactor |
GB2075455B (en) | 1980-04-30 | 1984-08-22 | Nippon Steel Corp | Apparatus and method for supporting a metal strip under a static gas pressure |
US5871811A (en) | 1986-12-19 | 1999-02-16 | Applied Materials, Inc. | Method for protecting against deposition on a selected region of a substrate |
FR2628984B1 (fr) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a planetaire |
JPH01309973A (ja) | 1988-06-07 | 1989-12-14 | Fujitsu Ltd | 薄膜形成装置 |
JPH02222134A (ja) | 1989-02-23 | 1990-09-04 | Nobuo Mikoshiba | 薄膜形成装置 |
DE4039930A1 (de) * | 1990-12-14 | 1992-06-17 | Leybold Ag | Vorrichtung fuer plasmabehandlung |
JPH04348031A (ja) * | 1990-12-28 | 1992-12-03 | Mitsubishi Electric Corp | 化学気相成長装置 |
FR2682047B1 (fr) * | 1991-10-07 | 1993-11-12 | Commissariat A Energie Atomique | Reacteur de traitement chimique en phase gazeuse. |
US5446824A (en) * | 1991-10-11 | 1995-08-29 | Texas Instruments | Lamp-heated chuck for uniform wafer processing |
DE69222110T2 (de) | 1991-10-18 | 1998-03-05 | Koninkl Philips Electronics Nv | Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
JP3422583B2 (ja) | 1994-03-23 | 2003-06-30 | 東京エレクトロン株式会社 | 処理装置 |
ATE181969T1 (de) * | 1994-03-29 | 1999-07-15 | Schott Glas | Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten |
GB9410567D0 (en) | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
JPH08115879A (ja) * | 1994-10-13 | 1996-05-07 | Toshiba Corp | 半導体製造装置 |
JPH08148439A (ja) * | 1994-11-15 | 1996-06-07 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
US5580387A (en) * | 1995-06-28 | 1996-12-03 | Electronics Research & Service Organization | Corrugated waveguide for a microwave plasma applicator |
US6002109A (en) | 1995-07-10 | 1999-12-14 | Mattson Technology, Inc. | System and method for thermal processing of a semiconductor substrate |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5892235A (en) | 1996-05-15 | 1999-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
US6143081A (en) | 1996-07-12 | 2000-11-07 | Tokyo Electron Limited | Film forming apparatus and method, and film modifying apparatus and method |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
EP0854210B1 (en) | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
TW415970B (en) | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
US5954881A (en) * | 1997-01-28 | 1999-09-21 | Northrop Grumman Corporation | Ceiling arrangement for an epitaxial growth reactor |
US6217715B1 (en) | 1997-02-06 | 2001-04-17 | Applied Materials, Inc. | Coating of vacuum chambers to reduce pump down time and base pressure |
JP3641115B2 (ja) * | 1997-10-08 | 2005-04-20 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6794308B2 (en) * | 1998-01-07 | 2004-09-21 | Texas Instruments Incorporated | Method for reducing by-product deposition in wafer processing equipment |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
US6289842B1 (en) * | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
US6399484B1 (en) * | 1998-10-26 | 2002-06-04 | Tokyo Electron Limited | Semiconductor device fabricating method and system for carrying out the same |
DE19852552C2 (de) | 1998-11-13 | 2000-10-05 | Daimler Chrysler Ag | Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors |
KR100331544B1 (ko) * | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW582050B (en) | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
JP2000277521A (ja) * | 1999-03-26 | 2000-10-06 | Kobe Steel Ltd | 半導体ウェーハの高温高圧処理方法及び装置 |
JP2000297368A (ja) | 1999-04-14 | 2000-10-24 | Canon Inc | スパッタ方法及びスパッタ装置 |
US6495233B1 (en) | 1999-07-09 | 2002-12-17 | Applied Materials, Inc. | Apparatus for distributing gases in a chemical vapor deposition system |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6312568B2 (en) | 1999-12-07 | 2001-11-06 | Applied Materials, Inc. | Two-step AIN-PVD for improved film properties |
EP1240366B1 (en) | 1999-12-22 | 2003-07-09 | Aixtron AG | Chemical vapor deposition reactor and process chamber for said reactor |
AU2001242363A1 (en) * | 2000-02-04 | 2001-08-14 | Aixtron Ag | Device and method for depositing one or more layers onto a substrate |
DE10007059A1 (de) * | 2000-02-16 | 2001-08-23 | Aixtron Ag | Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung |
US6444039B1 (en) | 2000-03-07 | 2002-09-03 | Simplus Systems Corporation | Three-dimensional showerhead apparatus |
WO2001075188A2 (en) | 2000-03-30 | 2001-10-11 | Tokyo Electron Limited | Method of and apparatus for gas injection |
WO2001099166A1 (en) | 2000-06-08 | 2001-12-27 | Genitech Inc. | Thin film forming method |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
DE10043601A1 (de) * | 2000-09-01 | 2002-03-14 | Aixtron Ag | Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
US6333272B1 (en) | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US9255329B2 (en) | 2000-12-06 | 2016-02-09 | Novellus Systems, Inc. | Modulated ion-induced atomic layer deposition (MII-ALD) |
US20020104556A1 (en) | 2001-02-05 | 2002-08-08 | Suraj Puri | Controlled fluid flow and fluid mix system for treating objects |
US7436599B2 (en) * | 2001-05-14 | 2008-10-14 | Olympus Corporation | Electronic image pickup system |
GB0112781D0 (en) | 2001-05-25 | 2001-07-18 | Global Continuity Plc | Method for rapid recovery from a network file server failure |
JP3990881B2 (ja) | 2001-07-23 | 2007-10-17 | 株式会社日立製作所 | 半導体製造装置及びそのクリーニング方法 |
US6820570B2 (en) * | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US20030040171A1 (en) * | 2001-08-22 | 2003-02-27 | Weimer Ronald A. | Method of composite gate formation |
US20030070620A1 (en) * | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
WO2003034477A1 (en) * | 2001-10-18 | 2003-04-24 | Chul Soo Byun | Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate |
DE10153463A1 (de) * | 2001-10-30 | 2003-05-15 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten |
US7017514B1 (en) | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
JP3982402B2 (ja) | 2002-02-28 | 2007-09-26 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US6866255B2 (en) | 2002-04-12 | 2005-03-15 | Xerox Corporation | Sputtered spring films with low stress anisotropy |
JP4338355B2 (ja) * | 2002-05-10 | 2009-10-07 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
KR100476370B1 (ko) | 2002-07-19 | 2005-03-16 | 주식회사 하이닉스반도체 | 배치형 원자층증착장치 및 그의 인시튜 세정 방법 |
JP4880175B2 (ja) | 2002-12-06 | 2012-02-22 | 富士通株式会社 | 気相成長装置及び気相成長方法 |
WO2004083485A2 (en) | 2003-03-14 | 2004-09-30 | Genus, Inc. | Methods and apparatus for atomic layer deposition |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
JP2005142355A (ja) | 2003-11-06 | 2005-06-02 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
KR100616486B1 (ko) | 2004-02-09 | 2006-08-28 | 백용구 | 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법 |
DE102004009772A1 (de) * | 2004-02-28 | 2005-09-15 | Aixtron Ag | CVD-Reaktor mit Prozesskammerhöhenstabilisierung |
US7273526B2 (en) | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7622005B2 (en) | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
US7572337B2 (en) | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
KR100532354B1 (ko) * | 2004-05-31 | 2005-11-30 | 삼성전자주식회사 | 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법 |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
KR101121417B1 (ko) * | 2004-10-28 | 2012-03-15 | 주성엔지니어링(주) | 표시소자의 제조장치 |
KR100558922B1 (ko) | 2004-12-16 | 2006-03-10 | (주)퓨전에이드 | 박막 증착장치 및 방법 |
US7510624B2 (en) * | 2004-12-17 | 2009-03-31 | Applied Materials, Inc. | Self-cooling gas delivery apparatus under high vacuum for high density plasma applications |
KR100854995B1 (ko) * | 2005-03-02 | 2008-08-28 | 삼성전자주식회사 | 고밀도 플라즈마 화학 기상 증착 장치 |
US7722719B2 (en) | 2005-03-07 | 2010-05-25 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
KR100673979B1 (ko) | 2005-03-17 | 2007-01-24 | 안강호 | 초미립자 제조장치 및 그 방법 |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
KR100731164B1 (ko) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
KR101218114B1 (ko) | 2005-08-04 | 2013-01-18 | 주성엔지니어링(주) | 플라즈마 식각 장치 |
DE102005056320A1 (de) | 2005-11-25 | 2007-06-06 | Aixtron Ag | CVD-Reaktor mit einem Gaseinlassorgan |
KR20070098104A (ko) | 2006-03-31 | 2007-10-05 | 삼성전자주식회사 | 가스커튼을 구비한 박막증착장치 |
US7932181B2 (en) * | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US8100081B1 (en) * | 2006-06-30 | 2012-01-24 | Novellus Systems, Inc. | Edge removal of films using externally generated plasma species |
KR100849929B1 (ko) | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US7740706B2 (en) | 2006-11-28 | 2010-06-22 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US20080121177A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US20080124944A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Gas baffle and distributor for semiconductor processing chamber |
US7789993B2 (en) | 2007-02-02 | 2010-09-07 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US7572647B2 (en) | 2007-02-02 | 2009-08-11 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US8715455B2 (en) | 2007-02-06 | 2014-05-06 | Tokyo Electron Limited | Multi-zone gas distribution system for a treatment system |
US20080241384A1 (en) | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
CN101657565A (zh) * | 2007-04-17 | 2010-02-24 | 株式会社爱发科 | 成膜装置 |
US7965546B2 (en) * | 2007-04-26 | 2011-06-21 | Super Talent Electronics, Inc. | Synchronous page-mode phase-change memory with ECC and RAM cache |
US8197636B2 (en) | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
US8409351B2 (en) | 2007-08-08 | 2013-04-02 | Sic Systems, Inc. | Production of bulk silicon carbide with hot-filament chemical vapor deposition |
JP4472008B2 (ja) * | 2007-08-30 | 2010-06-02 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
WO2009029901A1 (en) * | 2007-08-31 | 2009-03-05 | Applied Materials, Inc. | Production line module for forming multiple sized photovoltaic devices |
US7832354B2 (en) | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
JP2009071017A (ja) | 2007-09-13 | 2009-04-02 | Nuflare Technology Inc | 気相成長装置及び気相成長方法 |
KR100923453B1 (ko) | 2007-09-21 | 2009-10-27 | 주식회사 피에조닉스 | 샤워헤드를 구비한 반도체 소자 제조 장비 |
JP5444599B2 (ja) * | 2007-09-28 | 2014-03-19 | 東京エレクトロン株式会社 | ガス供給装置及び成膜装置 |
US8137467B2 (en) * | 2007-10-16 | 2012-03-20 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8673080B2 (en) * | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090109595A1 (en) | 2007-10-31 | 2009-04-30 | Sokudo Co., Ltd. | Method and system for performing electrostatic chuck clamping in track lithography tools |
KR100891035B1 (ko) | 2007-11-05 | 2009-03-31 | 주식회사 계명엔지니어링 | 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관 |
JP4933409B2 (ja) | 2007-11-29 | 2012-05-16 | 株式会社ニューフレアテクノロジー | 半導体製造装置および半導体製造方法 |
US8137463B2 (en) * | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
CA2707934C (en) * | 2007-12-21 | 2015-10-06 | General Instrument Corporation | System and method for preventing unauthorised use of digital media |
JP2009149951A (ja) | 2007-12-21 | 2009-07-09 | Mitsubishi Heavy Ind Ltd | 製膜装置の膜厚調整方法 |
US8298338B2 (en) * | 2007-12-26 | 2012-10-30 | Samsung Electronics Co., Ltd. | Chemical vapor deposition apparatus |
KR101432562B1 (ko) * | 2007-12-31 | 2014-08-21 | (주)소슬 | 기판 처리 장치 및 기판 처리 방법 |
KR101417728B1 (ko) | 2008-03-12 | 2014-07-11 | 삼성전자주식회사 | 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법 |
US20090270849A1 (en) | 2008-03-17 | 2009-10-29 | Arqos Surgical Inc. | Electrosurgical Device and Method |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
JP2010016225A (ja) | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
US8465802B2 (en) * | 2008-07-17 | 2013-06-18 | Gang Li | Chemical vapor deposition reactor and method |
US9222172B2 (en) | 2008-08-20 | 2015-12-29 | Applied Materials, Inc. | Surface treated aluminum nitride baffle |
JP5439771B2 (ja) * | 2008-09-05 | 2014-03-12 | 東京エレクトロン株式会社 | 成膜装置 |
DE102008049494A1 (de) | 2008-09-27 | 2010-04-08 | Xtreme Technologies Gmbh | Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen |
TW201030178A (en) * | 2008-10-10 | 2010-08-16 | Alta Devices Inc | Concentric showerhead for vapor deposition |
DE102008055582A1 (de) * | 2008-12-23 | 2010-06-24 | Aixtron Ag | MOCVD-Reaktor mit zylindrischem Gaseinlassorgan |
JP5107285B2 (ja) | 2009-03-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
KR101095172B1 (ko) * | 2009-10-01 | 2011-12-16 | 주식회사 디엠에스 | 플라즈마 반응 챔버의 사이드 가스 인젝터 |
CN102087955B (zh) | 2009-12-04 | 2012-10-31 | 中芯国际集成电路制造(上海)有限公司 | 改善等离子体工艺中反应腔室内部颗粒状况的方法 |
CN102136410B (zh) | 2010-01-27 | 2013-04-10 | 中芯国际集成电路制造(上海)有限公司 | 用于半导体工艺腔的清洁方法 |
US20110195202A1 (en) | 2010-02-11 | 2011-08-11 | Applied Materials, Inc. | Oxygen pump purge to prevent reactive powder explosion |
KR101603176B1 (ko) * | 2010-02-12 | 2016-03-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 프로세스 챔버 가스 유동 개선들 |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
KR100996210B1 (ko) * | 2010-04-12 | 2010-11-24 | 세메스 주식회사 | 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법 |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
CN103003924B (zh) | 2010-06-28 | 2015-07-08 | 东京毅力科创株式会社 | 等离子体处理装置及方法 |
US20120043198A1 (en) | 2010-08-18 | 2012-02-23 | Semiconductor Energy Laboratory Co., Ltd. | Film formation apparatus and film formation method |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
CN102031498B (zh) | 2010-12-17 | 2016-05-18 | 中微半导体设备(上海)有限公司 | 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法 |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
NL2006962C2 (nl) | 2011-06-17 | 2012-12-18 | Draka Comteq Bv | Inrichting en werkwijze voor het vervaardigen van een optische voorvorm. |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
US9947512B2 (en) * | 2011-10-25 | 2018-04-17 | Lam Research Corporation | Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber |
CN104093892B (zh) | 2012-02-07 | 2016-03-16 | 三菱丽阳株式会社 | 卧式热处理装置 |
US20130239894A1 (en) * | 2012-03-19 | 2013-09-19 | Pinecone Material Inc. | Chemical vapor deposition apparatus |
US9162236B2 (en) * | 2012-04-26 | 2015-10-20 | Applied Materials, Inc. | Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus |
KR101430657B1 (ko) | 2012-05-29 | 2014-09-23 | 주식회사 에스에프에이 | 원자층 증착장치 |
KR101832404B1 (ko) | 2012-06-22 | 2018-02-26 | 주식회사 원익아이피에스 | 가스분사장치 및 기판처리장치 |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9388494B2 (en) | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
JP5953994B2 (ja) | 2012-07-06 | 2016-07-20 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US20140044889A1 (en) | 2012-08-10 | 2014-02-13 | Globalfoundries Inc. | Methods of making stressed material layers and a system for forming such layers |
KR20140033911A (ko) | 2012-09-11 | 2014-03-19 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 및 증착 방법 |
US9021985B2 (en) * | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
WO2014052388A1 (en) * | 2012-09-26 | 2014-04-03 | Applied Materials, Inc. | An apparatus and method for purging gaseous compounds |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
JP6287858B2 (ja) | 2012-12-14 | 2018-03-07 | コニカミノルタ株式会社 | ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP6078354B2 (ja) * | 2013-01-24 | 2017-02-08 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9399228B2 (en) * | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US20140235069A1 (en) | 2013-02-15 | 2014-08-21 | Novellus Systems, Inc. | Multi-plenum showerhead with temperature control |
TWI624560B (zh) | 2013-02-18 | 2018-05-21 | 應用材料股份有限公司 | 用於原子層沉積的氣體分配板及原子層沉積系統 |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US20150030766A1 (en) | 2013-07-25 | 2015-01-29 | Novellus Systems, Inc. | Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline |
TWI769494B (zh) | 2013-08-16 | 2022-07-01 | 美商應用材料股份有限公司 | 用於高溫低壓環境中的延長的電容性耦合的電漿源 |
US9464353B2 (en) | 2013-11-21 | 2016-10-11 | Wonik Ips Co., Ltd. | Substrate processing apparatus |
WO2015080900A1 (en) | 2013-11-26 | 2015-06-04 | Applied Materials, Inc. | Tilted plate for batch processing and methods of use |
JP6616070B2 (ja) | 2013-12-01 | 2019-12-04 | ユージェヌス インコーポレイテッド | 誘電性複合体構造の作製方法及び装置 |
TWI654333B (zh) | 2013-12-18 | 2019-03-21 | 美商蘭姆研究公司 | 具有均勻性折流板之半導體基板處理設備 |
US9514933B2 (en) | 2014-01-05 | 2016-12-06 | Applied Materials, Inc. | Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition |
JP6692754B2 (ja) | 2014-01-13 | 2020-05-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 空間的原子層堆積法による、自己整合ダブルパターニング |
KR102135740B1 (ko) | 2014-02-27 | 2020-07-20 | 주식회사 원익아이피에스 | 기판 처리 장치 및 기판 처리 방법 |
US9336997B2 (en) | 2014-03-17 | 2016-05-10 | Applied Materials, Inc. | RF multi-feed structure to improve plasma uniformity |
TW201610215A (zh) | 2014-03-27 | 2016-03-16 | 應用材料股份有限公司 | 用於低熱預算處理的循環尖峰退火化學曝露 |
KR102421679B1 (ko) | 2014-04-18 | 2022-07-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 서셉터 온도 확인을 위한 장치 및 사용 방법들 |
US10249511B2 (en) | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US20150380221A1 (en) | 2014-06-30 | 2015-12-31 | Applied Materials, Inc. | Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source |
US9617638B2 (en) | 2014-07-30 | 2017-04-11 | Lam Research Corporation | Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system |
JP6298383B2 (ja) | 2014-08-19 | 2018-03-20 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
TWI670394B (zh) | 2014-09-10 | 2019-09-01 | 美商應用材料股份有限公司 | 空間原子層沈積中的氣體分離控制 |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10273578B2 (en) | 2014-10-03 | 2019-04-30 | Applied Materials, Inc. | Top lamp module for carousel deposition chamber |
US20160138160A1 (en) | 2014-11-18 | 2016-05-19 | Lam Research Corporation | Reactive ultraviolet thermal processing of low dielectric constant materials |
US10253412B2 (en) * | 2015-05-22 | 2019-04-09 | Lam Research Corporation | Deposition apparatus including edge plenum showerhead assembly |
US9508547B1 (en) | 2015-08-17 | 2016-11-29 | Lam Research Corporation | Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors |
US9738977B1 (en) | 2016-06-17 | 2017-08-22 | Lam Research Corporation | Showerhead curtain gas method and system for film profile modulation |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US20230005740A1 (en) * | 2019-07-17 | 2023-01-05 | Lam Research Corporation | Modulation of oxidation profile for substrate processing |
-
2012
- 2012-10-24 US US13/659,231 patent/US9388494B2/en active Active
-
2013
- 2013-06-24 JP JP2013131699A patent/JP6250311B2/ja active Active
- 2013-06-24 KR KR1020130072651A patent/KR102177279B1/ko active IP Right Grant
- 2013-06-25 CN CN201310256636.8A patent/CN103510072B/zh active Active
- 2013-06-25 CN CN201710251738.9A patent/CN107435140B/zh active Active
- 2013-06-26 TW TW105137740A patent/TWI621735B/zh active
- 2013-06-26 TW TW102122744A patent/TWI571530B/zh active
-
2016
- 2016-06-09 US US15/177,548 patent/US10287683B2/en active Active
-
2017
- 2017-11-22 JP JP2017224196A patent/JP6542862B2/ja active Active
-
2019
- 2019-05-13 US US16/410,057 patent/US11111581B2/en active Active
- 2019-06-13 JP JP2019110244A patent/JP7325235B2/ja active Active
-
2020
- 2020-11-04 KR KR1020200146249A patent/KR102296136B1/ko active IP Right Grant
-
2021
- 2021-08-20 US US17/445,562 patent/US11725282B2/en active Active
- 2021-08-25 KR KR1020210112067A patent/KR102424908B1/ko active IP Right Grant
-
2022
- 2022-06-30 JP JP2022105213A patent/JP7441275B2/ja active Active
- 2022-07-20 KR KR1020220089613A patent/KR102531262B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
US11111581B2 (en) | 2021-09-07 |
KR20200130206A (ko) | 2020-11-18 |
KR102531262B1 (ko) | 2023-05-10 |
US20130344245A1 (en) | 2013-12-26 |
KR102177279B1 (ko) | 2020-11-11 |
JP2014012891A (ja) | 2014-01-23 |
JP2022130614A (ja) | 2022-09-06 |
JP7325235B2 (ja) | 2023-08-14 |
KR20210107585A (ko) | 2021-09-01 |
KR20220106728A (ko) | 2022-07-29 |
CN107435140B (zh) | 2019-11-12 |
TWI621735B (zh) | 2018-04-21 |
KR20140000653A (ko) | 2014-01-03 |
TW201706451A (zh) | 2017-02-16 |
TWI571530B (zh) | 2017-02-21 |
KR102424908B1 (ko) | 2022-07-22 |
KR102296136B1 (ko) | 2021-08-31 |
US11725282B2 (en) | 2023-08-15 |
JP6250311B2 (ja) | 2017-12-20 |
CN103510072A (zh) | 2014-01-15 |
JP7441275B2 (ja) | 2024-02-29 |
TW201416488A (zh) | 2014-05-01 |
US20210381106A1 (en) | 2021-12-09 |
US9388494B2 (en) | 2016-07-12 |
US20190271081A1 (en) | 2019-09-05 |
US10287683B2 (en) | 2019-05-14 |
JP2019167631A (ja) | 2019-10-03 |
US20160289832A1 (en) | 2016-10-06 |
CN103510072B (zh) | 2017-05-17 |
CN107435140A (zh) | 2017-12-05 |
JP2018066063A (ja) | 2018-04-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6542862B2 (ja) | 基板処理システムを動作させるための方法 | |
KR102208576B1 (ko) | 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치 | |
KR102594473B1 (ko) | 내장형 rf 차폐부를 갖는 반도체 기판 지지부들 | |
US7829145B2 (en) | Methods of uniformity control for low flow process and chamber to chamber matching | |
TWI539025B (zh) | 用於短生命週期物種之具有內建電漿源的製程腔室蓋設計 | |
TW200540292A (en) | Blocker plate bypass to distribute gases in a chemical vapor deposition system | |
TW202343534A (zh) | 半導體處理腔室適配器 | |
US11742185B2 (en) | Uniform in situ cleaning and deposition | |
CN111799143B (zh) | 半导体处理腔室多阶段混合设备 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20171213 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20171213 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20180717 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20180712 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20181010 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20190108 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20190514 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20190613 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6542862 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |