KR102177279B1 - 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제 - Google Patents

기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제 Download PDF

Info

Publication number
KR102177279B1
KR102177279B1 KR1020130072651A KR20130072651A KR102177279B1 KR 102177279 B1 KR102177279 B1 KR 102177279B1 KR 1020130072651 A KR1020130072651 A KR 1020130072651A KR 20130072651 A KR20130072651 A KR 20130072651A KR 102177279 B1 KR102177279 B1 KR 102177279B1
Authority
KR
South Korea
Prior art keywords
showerhead
collar
stem portion
passages
head portion
Prior art date
Application number
KR1020130072651A
Other languages
English (en)
Other versions
KR20140000653A (ko
Inventor
춘광 시아
라메시 찬드라세카란
더글라스 케일
에드워드 제이 오거스티니악
칼 리서
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140000653A publication Critical patent/KR20140000653A/ko
Priority to KR1020200146249A priority Critical patent/KR102296136B1/ko
Application granted granted Critical
Publication of KR102177279B1 publication Critical patent/KR102177279B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 시스템은, 베이스 부분 및 스템 부분을 포함하고 챔버로 전구체 가스를 전달하는 샤워헤드를 포함한다. 칼라는 챔버의 상부 표면에 샤워헤드를 접속시킨다. 칼라는 복수의 슬롯들을 포함하고, 샤워헤드의 스템 부분 주위에 배열되며, 샤워헤드의 베이스 부분과 챔버의 상부 표면 사이의 영역으로 복수의 슬롯들을 통해 퍼지 가스를 지향시킨다.

Description

기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제{SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE SUBSTRATE REGION}
관련 출원들의 상호 참조
본 출원은 2012년 6월 25일에 출원된 미국 가출원 제 61/663,802 호의 이익을 주장한다. 상기 출원의 개시물은 그 전부가 본 명세서에서 참조로서 통합된다.
본 개시물은 기판 프로세싱 시스템에 관한 것으로, 보다 구체적으로는 기판 프로세싱 시스템에서의 기생 성막 억제에 관한 것이다.
일반적으로, 본 명세서에 제공된 배경 기술 기재는 본 개시물의 맥락을 제시하기 위한 것이다. 출원 시에 종래 기술로서 취득되지 않을 수도 있는 상세한 설명의 양태들뿐만 아니라 본 배경 기술에서 설명되는 정도로, 현재 명명된 발명자들의 작업은 본 개시물에 대한 종래 기술로서 명확하게도 암시적으로도 인정되지 않는다.
플라즈마 강화 원자층증착 (PEALD) 및 플라즈마 강화 화학기상증착 (PECVD) 와 같은 기판 프로세싱 시스템이 반도체 웨이퍼와 같은 기판 상에 막들을 성막하고 식각하기 위해 사용될 수 있다. 일부 PEALD 및 PECVD 시스템들은 프로세싱 챔버에 전구체를 공급하는 샹들리에형 샤워헤드들을 포함한다.
일반적으로, 샤워헤드들은 프로세싱 챔버 내부로 연장하는 스템 (stem) 부분 및 스템 부분에 접속된 헤드 부분을 포함한다. 공동은 프로세싱 챔버의 상부 표면과 헤드 부분 사이에서 헤드 부분 뒤에 형성된다. 즉, 샤워헤드는 공동 내부에서 불용 체적 (dead volume) 을 생성한다.
PECVD 와 같은 연속체 프로세스들에 대하여, 공동은 기능적으로 문제는 아니다. 그러나, 컨포멀막 성막 (CFD) 또는 ALD와 같은 과도 (transient) 프로세스들에 대하여, 공동은 후속 단계들에서 교환되거나 비워지게 되는 화학물질들을 저장한다. 연속 단계들에 걸친 화학물질의 저장은 분위기의 시변화성 오염을 초래할 수도 있다. 공동을 감소시키면 챔버의 상부 표면 (통상 접지에 있음) 에 근접하여 샤워헤드 (통상 RF 전력이 공급됨) 를 제공하기가 쉽다. 이는 챔버 상부 표면에 대한 RF 커플링을 증가시킬 수 있다. 일부 경우들에 있어서, 증가된 RF 커플링은 플라즈마를 스트라이크하는 능력에 악영향을 미칠 수 있다. 또한, 공동 영역에서의 정체된 화학물질의 존재는 결국 결함들을 야기하는 막들의 성막을 유도할 수 있다.
기판 프로세싱 시스템은 베이스 부분 및 스템 부분을 포함하고 전구체 가스를 챔버에 전달하는 샤워헤드를 포함한다. 칼라 (collar) 는 샤워헤드를 챔버의 상부 표면에 접속시킨다. 칼라는 복수의 슬롯들을 포함하고, 샤워헤드의 스템 부분 주위에 배열되며, 샤워헤드의 베이스 부분과 챔버의 상부 표면 사이의 영역으로 복수의 슬롯들을 통해 퍼지 가스를 지향시킨다.
다른 특징들에 있어서, 칼라는 베이스 부분 및 스템 부분을 포함한다. 칼라의 스템 부분은 샤워헤드의 스템 부분을 수용하는 내부 공동을 정의한다. 플레이트는 샤워헤드의 스템 부분을 수용하는 개구를 포함한다. 플레이트는 칼라의 스템 부분의 하부 에지와 샤워헤드의 베이스 부분 사이에 배열된다. 칼라는 플레이트와 샤워헤드의 스템 부분 사이 및 플레이트와 샤워헤드의 베이스 부분 사이에서 퍼지 가스를 지향시킨다.
다른 특징들에 있어서, 플레이트의 일 표면은 플레이트와 샤워헤드의 베이스 부분 사이에 균일한 스페이싱을 제공하기 위해 복수의 제 1 돌출부들을 포함한다. 개구는 플레이트와 샤워헤드의 스템 부분 사이에 균일한 스페이싱을 제공하기 위해 복수의 제 2 돌출부들을 포함한다.
다른 특징들에 있어서, 칼라는 칼라의 베이스 부분에 배열된 제 1 통로들을 포함한다. 칼라는 칼라의 스템 부분의 내부 공동의 표면과 샤워헤드의 스템 부분 사이에 정의된 제 2 통로들을 포함한다. 퍼지 가스는 제 1 통로들을 통해 제 2 통로들로 그리고 제 2 통로들로부터 슬롯들을 통해 플로우한다.
다른 특징들에 있어서, 칼라의 스템 부분은 원형 단면을 갖는다. 제 1 통로들은 칼라의 베이스 부분에 배열된다. 제 2 통로들은 칼라의 스템 부분에 배열된다. 퍼지 가스는 제 1 통로들을 통해 제 2 통로들로 그리고 제 2 통로들로부터 슬롯들을 통해 플로우한다.
다른 특징들에 있어서, N 개의 유전체 플레이트들이 샤워헤드의 베이스 부분과 챔버의 상부 표면 사이에서 샤워헤드의 스템 부분 주위에 배열된다. 칼라는 N 개의 유전체 플레이트들 위 및 아래, 그리고 N 개의 유전체 플레이트들 사이 중 적어도 하나로 퍼지 가스를 지향시키며, 여기서 N은 0보다 큰 정수이다.
다른 특징들에 있어서, 공동은 제 1 둘레 주위에서 이격된 관계로 배열되는 복수의 제 1 표면들 및 복수의 제 1 표면들 사이에 배열되는 복수의 아치형 표면들을 정의한다. 복수의 아치형 표면들은 복수의 제 1 표면들에 대해 외측으로 방사상으로 만곡한다.
기판 프로세싱 시스템을 동작시키는 방법은, 샤워헤드를 사용하여 챔버에 전구체 가스를 전달하는 단계로서, 샤워헤드는 베이스 부분 및 스템 부분을 포함하는 전구체 가스를 전달하는 단계; 칼라를 사용하여 챔버의 상부 표면에 샤워헤드를 접속시키는 단계로서, 칼라는 샤워헤드의 스템 부분 주위에 배열되는, 샤워헤드를 접속시키는 단계; 및 샤워헤드의 베이스 부분과 챔버의 상부 표면 사이의 영역으로 칼라의 슬롯들을 통해 퍼지 가스를 공급하는 단계를 포함한다.
다른 특징들에서, 칼라는 베이스 부분 및 스템 부분을 포함한다. 칼라의 스템 부분은 샤워헤드의 스템 부분을 수용하는 내부 공동을 정의한다. 방법은 칼라의 스템 부분의 하부 에지와 샤워헤드의 베이스 부분 사이에서, 샤워헤드의 스템 부분을 수용하는 개구를 포함하는, 플레이트를 위치시키는 단계를 포함한다.
다른 특징들에서, 방법은 플레이트와 샤워헤드의 스템 부분 사이에서 그리고 플레이트와 샤워헤드의 베이스 부분 사이에서 퍼지 가스를 지향시키는 단계를 포함한다. 방법은 복수의 돌출부들을 사용하여 샤워헤드의 베이스 부분 및 스템 부분에 대해 플레이트를 스페이싱하는 단계를 포함한다. 방법은 칼라의 베이스 부분에서의 제 1 통로들 및 칼라의 스템 부분의 공동의 내부 표면과 샤워헤드의 스템 부분 사이에서의 제 2 통로들을 제공하는 단계를 포함한다. 방법은 제 1 통로들을 통해 제 2 통로들로 그리고 제 2 통로들로부터 슬롯들을 통해 퍼지 가스를 플로우하는 단계를 포함한다.
다른 특징들에서, 칼라의 스템 부분은 원형 단면을 갖는다. 방법은 칼라의 베이스 부분에서의 제 1 통로들 및 칼라의 스템 부분에서의 제 2 통로들을 제공하는 단계; 및 제 1 통로들을 통해 제 2 통로들로 그리고 제 2 통로들로부터 슬롯들을 통해 퍼지 가스를 플로우하는 단계를 포함한다.
다른 특징들에서, 방법은 샤워헤드의 베이스 부분과 챔버의 표면 사이에서 샤워헤드의 스템 부분 주위에 N 개의 유전체 플레이트들을 배열시키는 단계, 및 칼라를 사용하여 N 개의 유전체 플레이트들의 위 및 아래, 그리고 N 개의 유전체 플레이트들 사이 중 적어도 하나로, 퍼지 가스를 지향시키는 단계를 더 포함하고, 여기서 N은 0보다 큰 정수이다. 기판 프로세싱 챔버는 원자층증착을 수행한다.
칼라를 사용하여 기판 프로세싱 시스템의 상부 표면에 부착된 샤워헤드 아래에 기판 프로세싱 시스템의 챔버에 기판을 배열하는 단계; 기판을 미리 결정된 제 1 기간 (period) 동안 제 1 전구체에 노출시키는 단계; 및 미리 결정된 제 1 기간 이후에 1차 퍼지 경로 및 2차 퍼지 경로를 통해 퍼지 가스를 플로우하는 단계를 포함한다. 1차 퍼지 경로는 샤워헤드를 통해 퍼지 가스를 플로우한다. 2차 퍼지 경로는 칼라의 슬롯들을 통해 그리고 샤워헤드의 베이스 부분과 상부 표면 사이에서 퍼지 가스를 플로우한다. 2차 퍼지 경로는 제 1 전구체를 제거한다. 방법은 미리 결정된 제 2 기간 동안 제 2 전구체를 기판에 노출시키는 단계를 포함한다.
다른 특징들에 있어서, 방법은 미리 결정된 제 2 기간 이후에 1차 퍼지 경로 및 2차 퍼지 경로를 통해 퍼지 가스를 플로우하는 단계를 포함한다. 2차 퍼지 경로는 샤워헤드의 베이스 부분과 챔버의 상부 표면 사이에서 제 2 전구체를 제거한다. 방법은 미리 결정된 제 1 기간 동안 2차 퍼지 경로를 통해 퍼지 가스를 플로우하는 단계를 포함한다. 방법은 미리 결정된 제 2 기간 동안 2차 퍼지 경로를 통해 퍼지 가스를 플로우하는 단계를 포함한다.
본 개시물의 적용가능성의 추가적인 영역들이 이후 제공되는 상세한 설명으로부터 명백해지게 될 것이다. 상세한 설명 및 특정 예들은 단지 예시의 목적을 위한 것이며 개시물의 범위를 제한하려는 것이 아님을 이해해야 한다.
본 개시물은 상세한 설명 및 첨부 도면들로부터 보다 충분히 이해될 것이다.
도 1은 본 개시물에 따른 샤워헤드와 1차 및 2차 퍼지 플로우 경로들을 갖는 프로세싱 챔버의 일 예의 단면도이다.
도 2는 본 개시물에 따른 칼라의 일 예의 투시도이다.
도 3은 본 개시물에 따른 도 2에서의 칼라에 대한 유체 커넥터의 투시도이다.
도 4a 및 도 4b는 본 개시물에 따른 플레이트들의 예들의 상부 및 저부 평면도들이다.
도 5는 본 개시물에 따른 도 1에서의 프로세싱 챔버에 대한 플로우 모델이다.
도 6은 본 개시물에 따른 샤워헤드 및 2차 퍼지 플로우 경로를 갖는 프로세싱 챔버의 다른 예의 단면도이다.
도 7은 본 개시물에 따른 칼라의 다른 예의 투시도이다.
도 8은 본 개시물에 따른 칼라의 다른 예의 투시도이다.
도 9는 본 개시물에 따른 샤워헤드 및 2차 퍼지 플로우 경로를 갖는 프로세싱 챔버의 또 다른 예의 단면도이다.
도 10 및 도 11은 본 개시물에 따른 2차 퍼지 시스템을 사용하는 방법들의 예들을 도시하는 플로우 챠트들이다.
본 개시물은 프로세스 챔버로부터 초과 전구체를 제거하고 샤워헤드 뒤의 공동과 같은 특정 영역들 내부로 전구체가 플로우하는 것을 방지하기 위한 시스템들 및 방법들을 기재한다. 또한, 본 개시물은 비용이 높은 막 전구체 대신 비활성 가스를 사용하여 챔버 압력을 조성한다. 더 높은 챔버 압력은 전구체에 대한 에어 커텐으로서 작용하고, 이로써 기판 영역에서의 전구체의 부분 압력을 증가시키고 다른 곳에서 압력을 감소시킨다. 더 높은 챔버 압력은 더 높은 압력 체제에 기인하는 기생 플라즈마의 기회를 낮춘다.
본 개시물은 샤워헤드의 후면측으로부터 선대칭의 비활성 가스 플로우를 도입한다. 일부 예들에 있어서, 공동에서의 퍼지 가스의 플로우는 페크리트 조건 (Peclet condition, 통상 1 보다 큰 페크리트 수) 을 충족시켜 공동으로의 전구체의 백 확산 (또는 플로우) 을 방지한다. 그 결과, 세정을 어렵게 할 수 있는 공동에서의 원치않는 성막을 최소화하면서 챔버 체적이 감소될 수 있다. 추가적인 개선이 RF 분리/억제 디바이스들과 후면측 플로우를 결합함으로써 달성될 수 있다. RF 분리/억제 디바이스들은 공동에서 전계들을 감소시킬 수 있으며, 이는 기생 플라즈마의 기회를 감소시킨다.
PEALD 프로세스들에 있어서, 전구체는 반응 챔버에 대안으로 존재하여야 하며, 그 후 배기되어야 한다. 기생 성막을 방지하기 위해, 프로세싱 챔버에서의 초과 전구체는 다음의 전구체를 도입하기 전에 공유된 전구체 경로들 (예컨대, 샤워헤드의 스템) 및 프로세싱 챔버로부터 제거된다. 초과 전구체의 제거는 통상 비활성 가스에 의해 전달 경로 및 챔버를 퍼지함으로써 행해진다.
샹들리에형 샤워헤드를 사용할 때, 샤워헤드로부터의 퍼지 가스는 샤워헤드 뒤에 포획된 초과 전구체를 효과적으로 제거할 수 없다. 이에 따라, 전구체는 샤워헤드의 후면측, 상부 플레이트 및 프로세싱 챔버 벽 상에서 주목할 만한 양의 기생 성막을 야기할 수 있다. 이러한 접근은 RF 커플링 문제들을 그라운드시키기 쉽기 때문에 고체 유전체로 불용 스페이스 (dead space) 를 채우는 것이 불가능하다.
일부 예들에 있어서, 공동은 세라믹 및/또는 일련의 이격된 스택형 디스크들에 의해 부분적으로 채워질 수 있다. 스택형 디스크들은 직렬로 접속된 캐패시터들의 스트링으로서 거동한다. 이격된 스택형 디스크들이 사용될 때, (개별 디스크들 각각의 캐패시턴스에 비해) 캐패시턴스의 네트 감소가 있다. 또한, 감소된 캐패시턴스는 챔버 벽들에 커플링된 RF를 감소시킨다. 일부 예들에 있어서, 디스크들의 스페이싱은 디스크들 사이에서 플라즈마 형성을 방지하도록 선택된다. 디스크들 사이의 가스 플로우는 중성 전구체들이 이러한 스페이스들로 확산한 후 성막 (기생 성막) 하는 것을 방지하는 것이 바람직하다.
본 개시물에 의하면, 2차 퍼지는 툴 쓰루풋 및 막 품질에 영향을 미치지 않으면서 기생 성막을 방지하기 위해 사용된다. 또한, 2차 퍼지 시스템은 영역들을 세정하기 어려운 것으로서 작용하는 불용 체적들을 그것 자체로는 도입하지 않는다.
이제, 도 1을 참조하면, 샤워헤드 (70) 를 갖는 프로세싱 챔버 (60) 를 포함하는 기판 프로세싱 시스템 (50) 의 일 예가 나타난다. 샤워헤드 (70) 는 스템 부분 (72) 및 헤드 부분 (74) 을 포함한다. 헤드 부분 (74) 은 내부 공동 (75) 을 정의한다. 전구체 또는 퍼지 가스와 같은 유체들이 스템 부분 (72) 을 통해 확산판 (76) 상으로 그리고 내부 공동 (75) 내부로 플로우한다. 그 후, 유체들은 헤드 부분 (74) 의 저부 표면에서 그리고 프로세싱 챔버 내부로 이격된 홀들 (78) 을 통과한다
샤워헤드 (70) 의 스템 부분 (72) 은 칼라 (80) 에 의해 프로세싱 챔버 (60) 의 상부 벽에 접속된다. 칼라 (80) 는 일반적으로 "T"-형상 단면을 가지며 헤드 부분 (81) 및 스템 부분 (83) 을 포함한다. 칼라 (80) 는 실린더 형상이고 샤워헤드 (70) 의 스템 부분 (72) 을 수용하는 내부 공동 (84) 을 정의한다. 복수의 슬롯들 (86) 이 스템 부분 (83) 에 형성되어 퍼지 가스와 같은 유체가 내부 공동으로부터 스템 부분 (83) 의 외부 표면으로 플로우하도록 한다.
유체 커넥터 (90) 는 칼라 (80) 의 헤드 부분 (81) 의 에지에 접속될 수 있으며 퍼지 가스와 같은 유체를 공급하기 위해 사용된다. 유체 커넥터 (90) 는 92 에서 일반적으로 식별되는 하나 이상의 도관들 및/또는 커넥터들을 포함한다. 마찬가지로 칼라 (80) 의 헤드 부분 (81) 은 93에서 일반적으로 식별되는 도체들 및/커넥터들을 포함하여 칼라 (80) 의 내부 공동 (84) 으로 유체의 플로우를 지향시킨다.
플레이트 (100) 는 샤워헤드 (70) 의 헤드 부분 (74) 과 칼라 (80) 사이에 배열된다. 플레이트 (100) 는 상부 표면 (104), 중심 개구 또는 보어 (110), 및 저부 표면 (114) 을 포함한다. 일부 예들에 있어서, 플레이트 (100) 는 세라믹으로 이루어진다. 플레이트 (100) 의 두께는 접지 또는 기생 플라즈마에 대한 용량 결합 및 재료를 최소화하기 위해 선택될 수 있다. 플레이트 (100) 의 상부 표면 (104) 은 칼라 (80) 의 저부 에지와 이격되어 그 사이에서 유체가 통과하게 한다. 또한, 센터링 보어 (110) 가 스템 부분 (72) 과 이격되어 그 사이에서 유체가 통과하게 한다. 플레이트의 저부 표면 (114) 은 샤워헤드 (70) 의 상부 표면과 이격되어 그 사이에서 유체를 플로우시킨다. 일부 예들에 있어서, 플레이트 (100) 는 생략될 수도 있고 프로세싱 챔버가 플레이트 (100) 없이 동작될 수도 있다.
칼라를 통해 퍼지 가스를 플로우하면 프로세스 성막 화학물질이 공동 내의 영역들로 진입하는 것을 억제하여 거기에서의 원치않는 막 성막을 방지한다. 슬롯들 및 다른 갭들의 치수는 그 내부에서의 플라즈마 라이트 업 (light up) 을 방지하기 위해 그리고 페크리트 조건이 충족되도록 하기 위해 선택되어 원하는 가스 유량들에 대한 백 확산을 방지한다.
이제 도 2를 참조하면, 칼라 (80) 의 일 예가 나타나 있다. 칼라 (80) 는 헤드 부분 (81) 및 스템 부분 (83) 을 포함한다. 슬롯 (86) 은 아치형 형상을 가질 수 있고 스템 부분 (83) 주위에 배열될 수 있다. 슬롯들 (86) 은 내부 공동 (84) 으로부터 슬롯들 (86) 을 통해 유체를 플로우시킨다. 헤드 부분 (81) 은 유체 커넥터 (90) 상에서 대응 메이팅 부분과 짝을 이루는 메이팅 부분 (118) 을 포함할 수 있다. 접속될 때, 칼라 (80) 의 도관 (93) 은 유체 커넥터 (90) 의 도관 (92) 과 정렬된다.
이제 도 3을 참조하면, 칼라 (80) 에 대한 유체 커넥터 (90) 의 일 예가 나타나 있다. 유체 커넥터 (90) 가 제 2 메이팅 부분 (120), 도관 (130), 커넥터 (132), 도관 (134) 및 커넥터 (136) 를 포함하는 것이 나타나 있지만, 유체 커넥터의 다른 구성들이 예상된다.
이제 도 4a 및 도 4b를 참조하면, 플레이트 (100) 의 예들이 나타나 있다. 도 4a에서 플레이트 (100) 의 상부 표면 (104) 은 일반적으로 원형 단면을 갖고 또한 플레이트 (100) 의 중심에 배열된 센터링 보어 (110) 를 갖는 것이 나타나 있다. 센터링 보어 (110) 는 센터링 보어 (110) 로부터 방사상으로 내측으로 연장하는 하나 이상의 돌출부들 (140) 를 포함한다. 돌출부들 (140) 은 플레이트 (100) 와 스템 부분 (72) 사이에 균일한 스페이싱을 제공한다. 도 4b에서, 플레이트 (100) 의 저부 표면 (114) 이 프로세싱 챔버의 상부에 대해 하향으로 연장하는 돌출부들 (144) 을 포함하는 것이 나타나 있다. 돌출부들 (144) 은 플레이트 (100) 의 저부 표면 (114) 과 샤워헤드 (70) 의 헤드 부분 (74) 의 상부 표면 사이에 균일한 스페이싱을 제공한다. 돌출부들 (140 및 144) 은 기생 플라즈마를 방지하기 위해 근접하는 스페이싱을 제공할 수 있다. 예로서, 대략 3mm 이하의 스페이싱은 통상의 프로세스 조건들에 대하여 기생 플라즈마를 방지하기에 적합할 수 있다. 통상의 프로세스 조건들에 대하여 이러한 스페이싱을 사용하면, 플라즈마 시스들 (sheaths) 을 따라 형성하기에 불충분한 플라즈마에 대한 스페이스가 존재한다 (2개의 플라즈마 시스 길이 미만). 플라즈마의 형성은 플라즈마 밀도, 플라즈마 전자 온도, 및 시스에 걸친 전압에 의해 영향을 받을 수 있다.
이제 도 5를 참조하면, 도 1에서의 프로세싱 챔버에 대한 플로우 모델이 나타나 있다. 플로우 모델은 샤워헤드의 에지 근방에서 재순환 없이 퍼지 가스와 같은 유체가 플로우하는 것을 나타낸다.
이제 도 6을 참조하면, 프로세싱 챔버 (200) 의 다른 예가 나타나 있다. 샤워헤드의 스템 부분 (72) 은 칼라 (210) 에 의해 프로세싱 챔버 (60) 의 상부 벽에 접속된다. 칼라 (210) 는 일반적으로 "T" 형상의 단면을 갖고 헤드 부분 (218) 및 스템 부분 (222) 을 포함한다. 칼라 (210) 는 칼라 (210) 의 내부 벽 (225) 에 의해 샤워헤드 (70) 의 스템 부분 (72) 과 이격되는 공동 (224) 을 정의한다. 통로들 (227) 이 공동 (224) 에 접속된다. 복수의 슬롯들 (226) 이 스템 부분 (222) 에 형성되어 퍼지 가스와 같은 유체가 공동 (224) 으로부터 통로들 (227) 을 통해 스템 부분 (222) 의 외부 표면으로 플로우한다.
슬롯들, 통로들 및 다른 갭들의 치수들은 그 내부에서의 플라즈마 라이트 업을 방지하고 페크리트 조건이 충족되게 하기 위해 충분히 작은 지오메트리들을 갖도록 선택되어 원하는 가스 유량들에 대한 백확산을 방지한다.
이제 도 7을 참조하면, 칼라 (210) 의 일 예가 나타나 있다. 공동 (224) 은 스템 부분 (222) 의 내부 벽 (225) 과 외부 벽 사이에 위치되는 통로들 (227) 에 접속된다. 통로들 (227) 은 슬롯들 (226) 과 유체 연통되어 유체가 공동 (224) 으로부터 통로들 (227) 을 통해 슬롯들 (226) 로 유체를 플로우시킨다. 하나 이상의 보어들 (238) 이 칼라 (210) 에 대해 이루어지게 되는 접속들을 허용하기 위해 제공될 수 있다. 칼라 (210) 는 스템 부분 (72) 이 칼라 (210) 와 동축이 아닌 경우 보다 대칭의 퍼지 플로우를 갖는다. 이에 따라, 칼라 (210) 는 샤워헤드 레벨링에 덜 민감하다.
이제 도 8을 참조하면, 칼라의 다른 예가 나타나 있다. 칼라 (300) 는 복수의 슬롯들 (314) 을 포함하는 스템 부분 (312) 및 헤드 부분 (310) 을 포함한다. 중심 공동 (318) 은 샤워헤드 (70) 의 스템 부분 (72) 을 수용한다. 중심 공동 (318) 은 실질적으로 제 1 직경을 따라 배열되는 제 1 복수의 표면들 (320) 을 포함한다. 복수의 아치형 표면들 (322) 은 외측으로 방사상으로 만곡하며 제 1 복수의 표면들 (320) 사이에 개재되어 유체를 수용하기 위해 많은 영역을 제공한다.
이제 도 9를 참조하면, 프로세싱 챔버 (400) 의 다른 예가 나타나 있다. 프로세싱 챔버 (60) 의 상부 표면과 샤워헤드의 헤드 부분 사이에 공동의 부분은 재료 (402) 로 채워져서 프로세싱 체적을 감소시킨다. 하나 이상의 플레이트들 (404) 이 스템 부분 (72) 주위에 그리고 샤워헤드 (70) 의 헤드 부분 (74) 위에 배열된다. 플레이트들은 유전체 재료로 이루어질 수 있다.
유전체 스택에서 RF 장들이 훨씬 더 높기 때문에, 플라즈마 형성 (플라즈마 라이트 업) 이 플레이트들 (404) 사이에서 발생한다. 일부 예들에 있어서, 플레이트들 (404) 사이의 갭은 "벌크" 플라즈마의 형성을 방지하기에 충분히 작도록 선택된다. 스페이서들 (408) 은 헤드 부분 (74) 의 상부 표면 위에 균일한 스페이싱을 제공하기 위해 사용될 수 있다. 다른 플레이트 (410) 가 공동 내에 배열될 수 있다. 플레이트 (410) 는 도전성 또는 유전체 재료로 이루어질 수 있고, 프로세싱 챔버 (60) 의 상부 표면에 접속될 수 있으며, 일반적으로 플레이트들 (404) 에 평행하게 연장할 수 있다.
단지 예시로서, 갭들이 약 3mm 이하일 때, 통상적으로 사용되는 전력 레벨들 및 압력들에 대해 플라즈마의 형성이 방지된다. 그러나, 갭들의 사이즈는 상이한 수의 플레이트들, 상이한 압력들 및/또는 RF 전력 레벨들에 대하여 다른 값들로 설정될 수 있다. 갭들의 사이즈 및 유전체층들 또는 플레이트들의 수는 온도, 압력 및 RF 전력 및 주파수와 같은 선택된 프로세스 조건들 및 선택된 종들에 대한 반도체 프로세스 동안 유전체층들 사이에서 플라즈마 형성을 방지하도록 선택된다.
칼라 (420) 는 헤드 부분 (422) 및 복수의 슬롯들 (428) 을 포함하는 스템 부분 (424) 을 포함한다. 중심 공동 (426) 은 샤워헤드 (70) 의 스템 부분 (72) 을 수용하고 플로우를 위해 유체에 대해 부가적인 스페이스를 제공한다. 유체는 중심 공동 (426) 에서, 슬롯들 (428) 을 통해 플레이트들 (404 및 410) 사이에서 플로우한다. 플레이트들 (404) 은 플라즈마를 억제하고 또한 플레이트들, 칼라들 등 사이의 다양한 위치들에서 유지되는 플라즈마의 기회를 낮춘다.
이제 도 10 및 도 11을 참조하면, 2차 퍼지를 사용하는 방법들의 예들을 도시하는 플로우 챠트가 나타나 있다. 도 10에서, 제 1 방법 (460) 이 나타나 있다. 464에서, 기판은 미리 결정된 제 1 기간 동안 제 1 전구체에 노출된다. 468에서, 미리 결정된 제 1 기간이 종료할 때, 1차 및 2차 퍼지들이 수행된다. 1차 및 2차 퍼지들이 완료될 때, 기판은 472에서 미리 결정된 제 2 기간 동안 제 2 전구체에 노출된다. 476에서, 미리 결정된 제 2 기간이 종료할 때, 1차 및 2차 퍼지들이 수행될 수 있다. 필요에 따라 부가적인 프로세싱이 수행될 수 있다.
도 11에서, 방법 (460) 과 유사한 방법 (500) 이 나타나 있다. 그러나, 2차 유체 플로우 경로가 또한 하나 또는 양자의 전구체 노출 동안 동작된다. 알수 있는 바와 같이, 2차 퍼지가 또한 하나 또는 양자의 전구체 노출 동안에만 동작될 수 있다. 504에서, 기판은 미리 결정된 제 1 기간 동안 제 1 전구체에 노출되고 유체는 2차 퍼지 경로를 통하여 플로우한다. 508에서, 미리 결정된 제 1 기간이 종료할 때, 1차 및 2차 퍼지들이 수행된다. 1차 및 2차 퍼지들이 완료될 때, 512에서 기판은 미리 결정된 제 2 기간 동안 제 2 전구체에 노출되고, 유체는 2차 퍼지 경로를 통하여 플로우한다. 516에서, 미리 결정된 제 2 기간이 종료할 때, 1차 및 2파 퍼지들이 수행될 수 있다. 부가적인 프로세싱이 필요에 따라 수행될 수 있다.
본 개시물에 따른 시스템들 및 방법들은 RF 엘리먼트들 및 플로우 엘리먼트들의 결합을 사용하여 다중 갈래 (multi-pronged) 방식으로 기생 성막을 공격한다. 또한, 본 개시물에 따른 시스템들 및 방법들은 칼라 주위에 부가적인 기생 플라즈마를 생성하지 않으면서 거의 대칭 플로우를 제공한다. 본 개시물에 따른 시스템 및 방법들은 막 쓰루풋 및 불균일성에 악영향을 미치지 않는다.
플라즈마 억제 키트와 결합하면, 본 개시물에 따른 시스템들 및 방법들은 유효 챔버 체적을 감소시키며, 이는 전구체 소비의 실질적인 감소를 제공하여, 작동 비용 및 퍼지 시간을 감소시킨다. 세라믹 플레이트들은 플라즈마의 생성 기회를 낮추고 또한 플레이트들, 칼라들 등 사이의 다양한 위치들에서 유지되는 플라즈마의 기회를 낮추는 것을 돕는다. 예를 들어, 도 9에서, 플레이트 (100) 과 상부 판 사이의 스페이스는 플라즈마를 억제하는 플레이트들로 채워진다.
또한, 본 개시물에 따른 시스템들 및 방법들은 샤워헤드 뒤의 화학적 반응의 제거 및 분위기의 시변화 환경을 제거한다.
상기 설명은 사실상 예시적인 것일 뿐이고 본 개시물을, 그 애플리케이션 또는 사용들을 한정하려고 하는 것이 아니다. 본 개시물의 폭넓은 교시들이 다양한 형태들로 구현될 수 있다. 이에 따라, 본 개시물은 특정 예들을 포함하지만, 다른 변형들이 도면들, 명세서 및 다음의 청구항들의 연구에 대하여 명백하게 될 것이기 때문에, 본 개시물의 진정한 범위가 그렇게 한정되지 않아야 한다. 명확성을 위해, 동일한 참조 번호들이 유사한 엘리먼트들을 식별하기 위해 도면들에 사용될 것이다. 본 명세서에서 사용되는 바와 같이, 관용구 A, B 및 C 중 적어도 하나는 비배타적 논리 OR를 사용하여 논리적 (A 또는 B 또는 C) 를 의미하도록 구성되어야 한다. 방법 내의 하나 이상의 단계들은 본 개시물의 원리들을 변경하지 않으면서 상이한 순서로 (또는 동시에) 실행될 수 있다.

Claims (45)

  1. 기판 프로세싱 시스템으로서,
    헤드 부분 및 스템 (stem) 부분을 포함하고 전구체 가스를 챔버에 전달하는 샤워헤드; 및
    상기 샤워헤드의 상기 스템 부분 주위에 배열된 칼라를 포함하고,
    상기 헤드 부분은 상부 표면, 측벽, 상기 헤드 부분으로부터 이격되고 복수의 홀을 포함하는 하부 표면, 및 상기 상부 표면, 상기 측벽 그리고 상기 하부 표면 사이의 적어도 일부에 구성되는 샤워헤드 공동을 포함하고,
    상기 헤드 부분은 상기 스템 부분의 일단으로부터 상기 챔버의 측벽을 향해 방사상으로 외측으로 연장하고,
    상기 샤워헤드의 상기 헤드 부분의 상기 상부 표면과 상기 챔버의 상부 표면 사이에 영역이 존재하고,
    상기 헤드 부분의 상기 샤워헤드 공동은 상기 스템 부분을 통해 프로세스 가스를 수용하도록 구성되고,
    상기 샤워헤드 공동 내의 상기 프로세스 가스는 상기 하부 표면에서의 상기 복수의 홀을 통해 상기 챔버로 흐르도록 구성되고,
    상기 칼라의 부분은 상기 챔버의 상부 표면과 상기 샤워헤드의 상기 헤드 부분 사이에 있으며,
    상기 칼라의 상기 부분은, 상기 샤워헤드의 상기 헤드 부분과 상기 챔버의 상부 표면 사이에 존재하는 상기 영역으로 퍼지 가스를 향하게 하도록 구성된 복수의 슬롯들을 포함하는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 칼라는 헤드 부분 및 스템 부분을 포함하고; 그리고
    상기 칼라의 스템 부분은 상기 샤워헤드의 스템 부분을 수용하는 내부 공동을 구성하는, 기판 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 샤워헤드의 스템 부분을 수용하는 개구를 포함하는 플레이트를 더 포함하고,
    상기 플레이트는 상기 칼라의 스템 부분의 하부 에지와 상기 샤워헤드의 헤드 부분 사이에 배열되는, 기판 프로세싱 시스템.
  4. 제 3 항에 있어서,
    상기 칼라는 상기 플레이트와 상기 샤워헤드의 스템 부분 사이 및 상기 플레이트와 상기 샤워헤드의 헤드 부분 사이로 상기 퍼지 가스를 향하도록 하는, 기판 프로세싱 시스템.
  5. 제 3 항에 있어서,
    상기 플레이트의 일 표면은 상기 플레이트와 상기 샤워헤드의 헤드 부분 사이에 균일한 스페이싱을 제공하기 위해 복수의 제 1 돌출부들을 포함하고,
    상기 개구는 상기 플레이트와 상기 샤워헤드의 스템 부분 사이에 균일한 스페이싱을 제공하기 위해 복수의 제 2 돌출부들을 포함하는, 기판 프로세싱 시스템.
  6. 제 2 항에 있어서,
    상기 칼라는 상기 칼라의 헤드 부분에 배열된 제 1 통로들을 포함하고;
    상기 칼라는 상기 칼라의 스템 부분의 상기 내부 공동의 표면과 상기 샤워헤드의 스템 부분 사이에 구성된 제 2 통로들을 포함하며; 그리고
    상기 퍼지 가스는 상기 제 1 통로들을 통해 상기 제 2 통로들로, 그리고 상기 제 2 통로들로부터 상기 슬롯들을 통해 흐르는, 기판 프로세싱 시스템.
  7. 제 2 항에 있어서,
    상기 칼라의 스템 부분은 원형 단면을 갖는, 기판 프로세싱 시스템.
  8. 제 2 항에 있어서,
    상기 칼라는 상기 칼라의 헤드 부분에 배열된 제 1 통로들을 포함하고;
    상기 칼라는 상기 칼라의 스템 부분에 배열된 제 2 통로들을 포함하며; 그리고
    상기 퍼지 가스는 상기 제 1 통로들을 통해 상기 제 2 통로들로 그리고 상기 제 2 통로들로부터 상기 슬롯들을 통해 흐르는, 기판 프로세싱 시스템.
  9. 제 1 항에 있어서,
    상기 샤워헤드의 헤드 부분과 상기 챔버의 상기 상부 표면 사이의 상기 샤워헤드의 스템 부분 주위에 배열된 N 개의 유전체 플레이트들을 더 포함하고,
    상기 칼라는,
    상기 N 개의 유전체 플레이트들 위 및 아래; 그리고
    상기 N 개의 유전체 플레이트들 사이
    중 적어도 하나로 상기 퍼지 가스를 향하도록 하며,
    N은 0 보다 큰 정수인, 기판 프로세싱 시스템.
  10. 제 2 항에 있어서,
    상기 내부 공동은,
    상기 칼라의 제 1 둘레 주위에서 이격된 관계로 배열되는 복수의 제 1 표면들; 및
    상기 복수의 제 1 표면들 사이에 배열된 복수의 아치형 표면들을 구성하고,
    상기 복수의 아치형 표면들은 상기 복수의 제 1 표면들에 대해 외측으로 방사상으로 만곡하는, 기판 프로세싱 시스템.
  11. 제 1 항에 기재된 기판 프로세싱 시스템을 포함하는, 원자층증착 시스템.
  12. 기판 프로세싱 시스템을 동작시키는 방법으로서,
    샤워헤드를 사용하여 챔버에 전구체 가스를 전달하는 단계로서, 상기 샤워헤드는 헤드 부분 및 스템 부분을 포함하는, 상기 전구체 가스를 전달하는 단계로서, 상기 헤드 부분은 상부 표면, 측벽, 복수의 홀을 포함하는 하부 표면, 및 상기 상부 표면 그리고 상기 하부 표면 사이의 적어도 일부에 구성되는 샤워헤드 공동을 포함하고, 상기 헤드 부분은 상기 스템 부분의 일단으로부터 상기 챔버의 측벽을 향해 방사상으로 외측으로 연장하고, 상기 샤워헤드의 상기 헤드 부분의 상기 상부 표면과 상기 챔버의 상부 표면 사이에 영역이 존재하고, 상기 헤드 부분의 상기 샤워헤드 공동은 상기 스템 부분을 통해 프로세스 가스를 수용하도록 구성되고, 상기 샤워헤드 공동 내의 상기 프로세스 가스는 상기 하부 표면에서의 상기 복수의 홀을 통해 상기 챔버로 흐르도록 구성되는, 상기 전구체 가스를 전달하는 단계;
    상기 샤워헤드의 상기 스템 부분을 통해 상기 샤워헤드의 상기 헤드 부분의 상기 샤워헤드 공동으로 프로세스 가스를 흐르게 하는 단계;
    상기 프로세스 가스를 상기 챔버로 분배하도록 상기 하부 표면에서의 상기 복수의 홀을 통해 상기 샤워헤드 공동에서 상기 프로세스 가스를 흐르게 하는 단계; 및
    상기 샤워헤드의 상기 헤드 부분과 상기 챔버의 상부 표면 사이에 존재하는 상기 영역으로 퍼지 가스를 지향시키도록 구성된 복수의 슬롯들을 포함하고, 상기 샤워헤드의 상기 스템 부분 주위에 배열된 칼라를 사용하여, 상기 샤워헤드의 상기 헤드 부분과 상기 챔버의 상기 상부 표면 사이의 상기 영역으로 상기 복수의 슬롯들을 통해 방사상으로 외측으로 퍼지 가스를 공급하는 단계를 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  13. 제 12 항에 있어서,
    상기 칼라는 헤드 부분 및 스템 부분을 포함하고; 그리고
    상기 칼라의 스템 부분은 상기 샤워헤드의 스템 부분을 수용하는 내부 공동을 구성하는, 기판 프로세싱 시스템을 동작시키는 방법.
  14. 제 13 항에 있어서,
    상기 칼라의 스템 부분의 하부 에지와 상기 샤워헤드의 헤드 부분 사이에서, 상기 샤워헤드의 스템 부분을 수용하는 개구를 포함하는 플레이트를 위치시키는 단계를 더 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  15. 제 14 항에 있어서,
    상기 플레이트와 상기 샤워헤드의 스템 부분 사이 및 상기 플레이트와 상기 샤워헤드의 헤드 부분 사이로 상기 퍼지 가스를 향하도록 하는 단계를 더 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  16. 제 14 항에 있어서,
    복수의 돌출부들을 사용하여 상기 샤워헤드의 헤드 부분 및 스템 부분에 대해 상기 플레이트를 스페이싱하는 단계를 더 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  17. 제 13 항에 있어서,
    상기 칼라의 헤드 부분에 제 1 통로들 및 상기 칼라의 스템 부분의 상기 공동의 내부 표면과 상기 샤워헤드의 스템 부분 사이에 제 2 통로들을 제공하는 단계; 및
    상기 제 1 통로들을 통해 상기 제 2 통로들로 그리고 상기 제 2 통로들로부터 상기 슬롯들을 통해 상기 퍼지 가스를 플로우하는 단계를 더 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  18. 제 13 항에 있어서,
    상기 칼라의 스템 부분은 원형 단면을 갖는, 기판 프로세싱 시스템을 동작시키는 방법.
  19. 제 13 항에 있어서,
    상기 칼라의 헤드 부분에 제 1 통로들 및 상기 칼라의 스템 부분에 제 2 통로들을 제공하는 단계; 및
    상기 제 1 통로들을 통해 상기 제 2 통로들로 그리고 상기 제 2 통로들로부터 상기 슬롯들을 통해 상기 퍼지 가스를 플로우하는 단계를 더 포함하는, 기판 프로세싱 시스템을 동작시키는 방법.
  20. 제 12 항에 있어서,
    상기 샤워헤드의 헤드 부분과 상기 챔버의 상기 표면 사이에서 상기 샤워헤드의 스템 부분 주위에 N 개의 유전체 플레이트들을 배열시키는 단계; 및
    상기 칼라를 사용하여,
    상기 N 개의 유전체 플레이트들 위 및 아래; 그리고
    상기 N 개의 유전체 플레이트들 사이
    중 적어도 하나로 상기 퍼지 가스를 향하도록 하는 단계를 더 포함하고,
    N은 0보다 큰 정수인, 기판 프로세싱 시스템을 동작시키는 방법.
  21. 제 12 항에 있어서,
    상기 기판 프로세싱 시스템은 원자층증착을 수행하는, 기판 프로세싱 시스템을 동작시키는 방법.
  22. 기판 프로세싱 시스템의 챔버에 기판을 배열하는 단계로서, 상기 기판 프로세싱 시스템은 칼라를 사용하여 상기 기판 프로세싱 시스템의 상부 표면에 부착된 샤워헤드를 포함하는, 상기 기판을 배열하는 단계로서, 상기 헤드 부분은 상부 표면, 측벽, 복수의 홀을 포함하는 하부 표면, 및 상기 상부 표면 그리고 상기 하부 표면 사이의 적어도 일부에 구성되는 샤워헤드 공동을 포함하고, 상기 헤드 부분은 상기 스템 부분의 일단으로부터 상기 챔버의 측벽을 향해 방사상으로 외측으로 연장하고, 상기 샤워헤드의 상기 헤드 부분의 상기 상부 표면과 상기 챔버의 상부 표면 사이에 영역이 존재하고, 상기 헤드 부분의 상기 샤워헤드 공동은 상기 스템 부분을 통해 프로세스 가스를 수용하도록 구성되고, 상기 샤워헤드 공동 내의 상기 프로세스 가스는 상기 하부 표면에서의 상기 복수의 홀을 통해 상기 챔버로 흐르도록 구성되는, 상기 기판을 배열하는 단계;
    상기 기판을 미리 결정된 제 1 기간 (period) 동안 제 1 전구체에 노출시키는 단계;
    상기 미리 결정된 제 1 기간 이후에 1차 퍼지 경로 및 2차 퍼지 경로를 통해 퍼지 가스를 플로우하는 단계로서, 상기 1차 퍼지 경로는 상기 샤워헤드를 통해 상기 퍼지 가스를 플로우하고, 상기 2차 퍼지 경로는, 칼라의 부분의 복수의 슬롯을 통해 상기 퍼지 가스를 플로우하며, 상기 칼라는 상기 샤워헤드의 상기 스템 부분 주위에 배열되고, 상기 복수의 슬롯은 상기 샤워헤드의 상기 헤드 부분과 상기 챔버의 상부 표면 사이에 존재하는 상기 영역으로 상기 퍼지 가스를 지향시키도록 구성되고, 상기 2차 퍼지 경로는 상기 제 1 전구체를 제거하는, 상기 퍼지 가스를 플로우하는 단계; 및
    상기 기판을 미리 결정된 제 2 기간 동안 제 2 전구체에 노출시키는 단계를 포함하는, 방법.
  23. 제 22 항에 있어서,
    상기 미리 결정된 제 2 기간 이후에 상기 1차 퍼지 경로 및 상기 2차 퍼지 경로를 통해 상기 퍼지 가스를 플로우하는 단계를 더 포함하고,
    상기 2차 퍼지 경로는 상기 샤워헤드의 상기 헤드 부분과 상기 챔버의 상기 상부 표면 사이에서 상기 제 2 전구체를 제거하는, 방법.
  24. 제 22 항에 있어서,
    상기 미리 결정된 제 1 기간 동안 상기 2차 퍼지 경로를 통해 상기 퍼지 가스를 플로우하는 단계를 더 포함하는, 방법.
  25. 제 23 항에 있어서,
    상기 미리 결정된 제 2 기간 동안 상기 2차 퍼지 경로를 통해 상기 퍼지 가스를 플로우하는 단계를 더 포함하는, 방법.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 스템 부분,
    상기 스템 부분의 중심을 통해 연장하고 샤워헤드의 스템 부분을 수용하도록 구성되는 중앙 공동,
    칼라의 외측 실린더형 측표면을 통해 그리고 상기 스템 부분 내로 연장하는 복수의 슬롯들, 및
    복수의 제 1 통로들로서, 상기 중앙 공동에 평행한 방향으로 상기 스템 부분을 통해 연장하고, 가스가 상기 복수의 슬롯들로 상기 제 1 통로들을 통해 플로우하게 구성되도록 상기 복수의 슬롯들에 유체로 연통되는, 상기 복수의 제 1 통로들을 포함하는, 상기 칼라를 포함하는, 장치.
  38. 제 37 항에 있어서,
    상기 제 1 통로들은 원형 단면을 갖는, 장치.
  39. 제 38 항에 있어서,
    상기 제 1 통로들은 상기 중앙 공동으로부터 방사상으로 오프셋되는, 장치.
  40. 제 38 항에 있어서,
    상기 칼라는 공동 및 내부 벽을 더 포함하고,
    상기 공동은 상기 중앙 공동 및 상기 내부 벽 주위에서 연장하고, 상기 중앙 공동으로부터 방사상으로 오프셋되고, 그리고 상기 내부 벽에 의해 부분적으로 규정되고, 그리고
    상기 공동은 가스가 상기 공동으로부터, 상기 제 1 통로들을 통해, 그리고 상기 복수의 슬롯들로 플로우하게 구성되도록, 상기 복수의 제 1 통로들에 유체로 연통되는, 장치.
  41. 제 40 항에 있어서,
    상기 칼라는,
    상기 스템 부분의 일부를 통해 연장하고, 그리고
    가스가 제 2 통로로부터 상기 공동으로, 상기 공동으로부터 상기 복수의 제 1 통로들로, 그리고 상기 복수의 제 1 통로들로부터 상기 복수의 슬롯들로 플로우하게 구성되도록 상기 공동에 유체로 연통되는, 상기 제 2 통로를 더 포함하는, 장치.
  42. 제 37 항에 있어서,
    상기 중앙 공동은 제 1 둘레를 따라 배열된 제 1 복수의 표면들에 의해 부분적으로 구성되고,
    제 1 통로 각각은 상기 중앙 공동에 유체로 연통되고 방사상으로 외측으로 만곡하는 아치형 표면에 의해 부분적으로 구성되고, 그리고
    아치형 표면 각각은 상기 제 1 복수의 표면들 중 두 표면들 사이에 걸치는 (span), 장치.
  43. 제 37 항에 있어서,
    상기 칼라는 상기 스템 부분을 통해 연장하는 제 2 통로를 더 포함하고,
    상기 제 2 통로는 가스가 상기 제 2 통로로부터 상기 복수의 제 1 통로들로, 그리고 상기 복수의 제 1 통로들로부터 상기 복수의 슬롯들로 플로우하게 구성되도록 상기 복수의 제 1 통로들에 유체로 연통되는, 장치.
  44. 제 37 항에 있어서,
    상기 칼라는 상기 스템 부분보다 직경이 큰 헤드 부분을 갖는 “T”형상의 단면인, 장치.
  45. 제 37 항에 있어서,
    상기 샤워헤드의 상기 스템 부분 및 샤워헤드 헤드 부분을 갖는 상기 샤워헤드를 더 포함하고, 상기 샤워헤드의 상기 스템 부분은 상기 칼라의 상기 중앙 공동 내에 위치되는, 장치.
KR1020130072651A 2012-06-25 2013-06-24 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제 KR102177279B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200146249A KR102296136B1 (ko) 2012-06-25 2020-11-04 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261663802P 2012-06-25 2012-06-25
US61/663,802 2012-06-25
US13/659,231 US9388494B2 (en) 2012-06-25 2012-10-24 Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US13/659,231 2012-10-24

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200146249A Division KR102296136B1 (ko) 2012-06-25 2020-11-04 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제

Publications (2)

Publication Number Publication Date
KR20140000653A KR20140000653A (ko) 2014-01-03
KR102177279B1 true KR102177279B1 (ko) 2020-11-11

Family

ID=49774680

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020130072651A KR102177279B1 (ko) 2012-06-25 2013-06-24 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR1020200146249A KR102296136B1 (ko) 2012-06-25 2020-11-04 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR1020210112067A KR102424908B1 (ko) 2012-06-25 2021-08-25 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR1020220089613A KR102531262B1 (ko) 2012-06-25 2022-07-20 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020200146249A KR102296136B1 (ko) 2012-06-25 2020-11-04 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR1020210112067A KR102424908B1 (ko) 2012-06-25 2021-08-25 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
KR1020220089613A KR102531262B1 (ko) 2012-06-25 2022-07-20 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제

Country Status (5)

Country Link
US (4) US9388494B2 (ko)
JP (4) JP6250311B2 (ko)
KR (4) KR102177279B1 (ko)
CN (2) CN103510072B (ko)
TW (2) TWI621735B (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US20140026816A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6591735B2 (ja) * 2014-08-05 2019-10-16 株式会社Fuji プラズマ発生装置
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10157755B2 (en) 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
CN105506581B (zh) * 2015-12-15 2019-03-19 北京北方华创微电子装备有限公司 一种应用原子层沉积技术制备薄膜的实现方法
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10535505B2 (en) 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
CN109321894B (zh) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 一种增强清洗效果的沉积系统及方法
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
CN109868458B (zh) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
KR20210080555A (ko) 2018-11-21 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
KR102486904B1 (ko) 2022-04-28 2023-01-11 주식회사 다담테크 코팅된 능동형 무전원 소화캡슐 조성물 제조방법 및 이를 이용한 소화 방재용 조성물

Family Cites Families (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2124940A (en) * 1937-11-08 1938-07-26 John S Zink Combination oil and gas burner
US2679821A (en) * 1948-03-27 1954-06-01 Gen Electric Burner for coating hollow glassware
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
GB2075455B (en) 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
FR2628984B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
JPH01309973A (ja) 1988-06-07 1989-12-14 Fujitsu Ltd 薄膜形成装置
JPH02222134A (ja) 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
DE4039930A1 (de) * 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
DE69222110T2 (de) 1991-10-18 1998-03-05 Koninkl Philips Electronics Nv Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
ATE181969T1 (de) * 1994-03-29 1999-07-15 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08115879A (ja) * 1994-10-13 1996-05-07 Toshiba Corp 半導体製造装置
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5580387A (en) * 1995-06-28 1996-12-03 Electronics Research & Service Organization Corrugated waveguide for a microwave plasma applicator
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5954881A (en) * 1997-01-28 1999-09-21 Northrop Grumman Corporation Ceiling arrangement for an epitaxial growth reactor
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
JP3641115B2 (ja) * 1997-10-08 2005-04-20 大日本スクリーン製造株式会社 基板処理装置
US6794308B2 (en) * 1998-01-07 2004-09-21 Texas Instruments Incorporated Method for reducing by-product deposition in wafer processing equipment
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
DE19852552C2 (de) 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
JP2000297368A (ja) 1999-04-14 2000-10-24 Canon Inc スパッタ方法及びスパッタ装置
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6312568B2 (en) 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
EP1240366B1 (en) 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
AU2001242363A1 (en) * 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20020104556A1 (en) 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
US7436599B2 (en) * 2001-05-14 2008-10-14 Olympus Corporation Electronic image pickup system
GB0112781D0 (en) 2001-05-25 2001-07-18 Global Continuity Plc Method for rapid recovery from a network file server failure
JP3990881B2 (ja) 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003034477A1 (en) * 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP3982402B2 (ja) 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6866255B2 (en) 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100476370B1 (ko) 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
JP4880175B2 (ja) 2002-12-06 2012-02-22 富士通株式会社 気相成長装置及び気相成長方法
WO2004083485A2 (en) 2003-03-14 2004-09-30 Genus, Inc. Methods and apparatus for atomic layer deposition
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP2005142355A (ja) 2003-11-06 2005-06-02 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR100616486B1 (ko) 2004-02-09 2006-08-28 백용구 독립적으로 가스가 흐르는 독립분리셀을 이용한원자층박막 증착장치 및 증착방법
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
KR100673979B1 (ko) 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
DE102005056320A1 (de) 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
KR20070098104A (ko) 2006-03-31 2007-10-05 삼성전자주식회사 가스커튼을 구비한 박막증착장치
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US8715455B2 (en) 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US7965546B2 (en) * 2007-04-26 2011-06-21 Super Talent Electronics, Inc. Synchronous page-mode phase-change memory with ECC and RAM cache
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US8409351B2 (en) 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2009029901A1 (en) * 2007-08-31 2009-03-05 Applied Materials, Inc. Production line module for forming multiple sized photovoltaic devices
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP2009071017A (ja) 2007-09-13 2009-04-02 Nuflare Technology Inc 気相成長装置及び気相成長方法
KR100923453B1 (ko) 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR100891035B1 (ko) 2007-11-05 2009-03-31 주식회사 계명엔지니어링 체크밸브형 밀폐커버를 포함한 부단수 공법용 활정자관
JP4933409B2 (ja) 2007-11-29 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
CA2707934C (en) * 2007-12-21 2015-10-06 General Instrument Corporation System and method for preventing unauthorised use of digital media
JP2009149951A (ja) 2007-12-21 2009-07-09 Mitsubishi Heavy Ind Ltd 製膜装置の膜厚調整方法
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP2010016225A (ja) 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8465802B2 (en) * 2008-07-17 2013-06-18 Gang Li Chemical vapor deposition reactor and method
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
DE102008049494A1 (de) 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
DE102008055582A1 (de) * 2008-12-23 2010-06-24 Aixtron Ag MOCVD-Reaktor mit zylindrischem Gaseinlassorgan
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
CN102087955B (zh) 2009-12-04 2012-10-31 中芯国际集成电路制造(上海)有限公司 改善等离子体工艺中反应腔室内部颗粒状况的方法
CN102136410B (zh) 2010-01-27 2013-04-10 中芯国际集成电路制造(上海)有限公司 用于半导体工艺腔的清洁方法
US20110195202A1 (en) 2010-02-11 2011-08-11 Applied Materials, Inc. Oxygen pump purge to prevent reactive powder explosion
KR101603176B1 (ko) * 2010-02-12 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 개선들
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN103003924B (zh) 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US20120043198A1 (en) 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102031498B (zh) 2010-12-17 2016-05-18 中微半导体设备(上海)有限公司 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
NL2006962C2 (nl) 2011-06-17 2012-12-18 Draka Comteq Bv Inrichting en werkwijze voor het vervaardigen van een optische voorvorm.
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
CN104093892B (zh) 2012-02-07 2016-03-16 三菱丽阳株式会社 卧式热处理装置
US20130239894A1 (en) * 2012-03-19 2013-09-19 Pinecone Material Inc. Chemical vapor deposition apparatus
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
KR101430657B1 (ko) 2012-05-29 2014-09-23 주식회사 에스에프에이 원자층 증착장치
KR101832404B1 (ko) 2012-06-22 2018-02-26 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
WO2014052388A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. An apparatus and method for purging gaseous compounds
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
JP6287858B2 (ja) 2012-12-14 2018-03-07 コニカミノルタ株式会社 ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
TWI624560B (zh) 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI769494B (zh) 2013-08-16 2022-07-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
US9464353B2 (en) 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
WO2015080900A1 (en) 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
JP6692754B2 (ja) 2014-01-13 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
KR102135740B1 (ko) 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102421679B1 (ko) 2014-04-18 2022-07-14 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
JP6298383B2 (ja) 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10273578B2 (en) 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US20230005740A1 (en) * 2019-07-17 2023-01-05 Lam Research Corporation Modulation of oxidation profile for substrate processing

Also Published As

Publication number Publication date
US11111581B2 (en) 2021-09-07
KR20200130206A (ko) 2020-11-18
KR102531262B1 (ko) 2023-05-10
US20130344245A1 (en) 2013-12-26
JP2014012891A (ja) 2014-01-23
JP2022130614A (ja) 2022-09-06
JP7325235B2 (ja) 2023-08-14
KR20210107585A (ko) 2021-09-01
KR20220106728A (ko) 2022-07-29
CN107435140B (zh) 2019-11-12
TWI621735B (zh) 2018-04-21
KR20140000653A (ko) 2014-01-03
TW201706451A (zh) 2017-02-16
TWI571530B (zh) 2017-02-21
KR102424908B1 (ko) 2022-07-22
KR102296136B1 (ko) 2021-08-31
US11725282B2 (en) 2023-08-15
JP6250311B2 (ja) 2017-12-20
CN103510072A (zh) 2014-01-15
JP7441275B2 (ja) 2024-02-29
TW201416488A (zh) 2014-05-01
US20210381106A1 (en) 2021-12-09
JP6542862B2 (ja) 2019-07-10
US9388494B2 (en) 2016-07-12
US20190271081A1 (en) 2019-09-05
US10287683B2 (en) 2019-05-14
JP2019167631A (ja) 2019-10-03
US20160289832A1 (en) 2016-10-06
CN103510072B (zh) 2017-05-17
CN107435140A (zh) 2017-12-05
JP2018066063A (ja) 2018-04-26

Similar Documents

Publication Publication Date Title
KR102531262B1 (ko) 기판 영역의 외측에서 전구체 플로우 및 플라즈마를 억제하는 것에 의한 기판 프로세싱 시스템에서의 기생 성막 억제
US10354843B2 (en) Chemical control features in wafer process equipment
US20090258162A1 (en) Plasma processing apparatus and method
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
TWI809958B (zh) 半導體處理腔室適配器
US20180258531A1 (en) Diffuser design for flowable cvd
KR20100137565A (ko) 플라즈마 처리 장치 및 방법
CN116568861A (zh) 处理腔室沉积限制
US11742185B2 (en) Uniform in situ cleaning and deposition
CN111799143B (zh) 半导体处理腔室多阶段混合设备

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant