CN103155098A - 用于提高可靠性的具有浮置导电板的3d过孔电容器 - Google Patents

用于提高可靠性的具有浮置导电板的3d过孔电容器 Download PDF

Info

Publication number
CN103155098A
CN103155098A CN2011800482494A CN201180048249A CN103155098A CN 103155098 A CN103155098 A CN 103155098A CN 2011800482494 A CN2011800482494 A CN 2011800482494A CN 201180048249 A CN201180048249 A CN 201180048249A CN 103155098 A CN103155098 A CN 103155098A
Authority
CN
China
Prior art keywords
layer
electrode
dielectric
via hole
capacitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800482494A
Other languages
English (en)
Other versions
CN103155098B (zh
Inventor
陈奋
杨智超
李保振
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103155098A publication Critical patent/CN103155098A/zh
Application granted granted Critical
Publication of CN103155098B publication Critical patent/CN103155098B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N97/00Electric solid-state thin-film or thick-film devices, not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明提供3D过孔电容器及其形成方法。该电容器包括在衬底上的绝缘层。绝缘层具有过孔,该过孔具有侧壁和底部。第一电极叠置在过孔的底部的至少一部分以及侧壁之上。第一高k介电材料层叠置在第一电极之上。第一导电板位于第一高k介电材料层之上。第二高k介电材料层叠置在第一导电板之上并留下过孔的剩余部分未填充。在过孔的剩余部分中形成第二电极。第一导电板与第一电极基本平行并且与第一电极和第二电极不接触。还提供这种3D过孔电容器的阵列。

Description

用于提高可靠性的具有浮置导电板的3D过孔电容器
技术领域
本发明总地涉及半导体器件,并且更具体地涉及具有浮置导电板的三维(3D)过孔电容器和用于在诸如半导体存储器器件等的半导体器件中使用的这种3D过孔电容器的阵列。
背景技术
电容器是诸如半导体存储器器件之类的半导体器件的基本电组件。半导体存储器器件通常包括用于存储大量信息的多个存储器单元。每个存储器单元包括用于存储电荷的电容器和用于打开和关闭电容器的充电和放电通路的对应场效应晶体管。随着半导体器件尺寸的不断缩小,存在对减小半导体器件的每个组件所占面积的期望。电容器是依赖于裸片上电容器的大小和/或电容器的数目而可以在半导体裸片上占据相当大面积的一种组件。
电容器的一个示例为金属-绝缘体-金属(MIM)电容器。MIM电容器通常通过在衬底上形成第一金属板、在第一金属板之上形成介电材料层并且然后在介电材料层之上形成第二金属板来制成。传统MIM电容器是二维(2D)的,即,两个面对的金属板是平坦的,并且基本彼此平行并且与衬底平行。MIM电容器的电容是两个面对的金属板的表面面积以及其它参数的函数,该其它参数诸如介电材料的介电常数以及两个板之间的间距。因而,增加MIM电容器的电容的一个主要手段在于增加金属板的大小。然而,这将消耗衬底的更多表面面积。相应地,存在对减小电容器在衬底上占据的表面面积而不牺牲其电容的需求。
此外,对于一些应用(诸如微机电系统(MEMS)和功率放大器中的解耦合电容器)而言,需要电容器在高电压下工作。传统电容器的可靠性在高的工作电压下将明显降低。相应地,也需要制造具有提高的可靠性的电容器。
发明内容
相比于上述的常规MIM电容器而言,本发明提供具有减小的衬底间隔要求和提高的可靠性的三维(3D)过孔电容器。本发明还提供用于形成这种电容器的方法。
根据一个实施例,本发明提供一种电容器,包括:衬底上的绝缘层,所述绝缘层包括具有侧壁和底部的过孔;第一电极,叠置在所述过孔的所述底部的至少一部分和所述侧壁之上;第一高k介电材料层,叠置在所述第一电极之上;第一导电板,在所述第一高k介电材料层之上;第二高k介电材料层,形成为位于所述第一导电板之上并且形成为使得所述过孔的剩余部分未填充;以及第二电极,形成在所述过孔的所述剩余部分中,其中所述第一导电板与所述第一电极基本平行并且不与所述第一电极和所述第二电极接触。
根据另一实施例,本发明提供一种电容器的阵列,包括具有第二电容器的第二芯片,所述第二芯片键合在具有第一电容器的第一芯片的顶部上。第一电容器和第二电容器具有基本相同的结构。第一电容器和第二电容器中的每一个包括:衬底上的绝缘层,所述绝缘层包括具有侧壁和底部的过孔;第一电极,叠置在所述过孔的所述底部的至少一部分和所述侧壁之上;第一高k介电材料层,叠置在所述第一电极之上;第一导电板,在所述第一高k介电材料层之上;第二高k介电材料层,形成为位于所述第一导电板之上并且形成为使得所述过孔的剩余部分未填充;以及第二电极,形成在所述过孔的所述剩余部分中,其中所述第一导电板与所述第一电极基本平行并且不与所述第一电极和所述第二电极接触;在所述衬底和所述绝缘层之间的下互连级,所述下互连级包括其中嵌入有第一导电结构元件的第一介电层;以及在所述绝缘层之上的上互连级,所述上互连级包括其中嵌入有第二导电结构元件的第二介电层,其中所述第一电极与所述第二导电结构元件接触,并且所述第二电极与所述第一导电结构元件接触。第二电容器的第一导电结构元件与第一电容器的第二导电结构元件相接触,并且第二电容器的第二导电结构元件通过导体连接到第一电容器的第一导电结构元件。
根据又一实施例,本发明提供一种形成电容器的方法,其包括以下步骤:提供初始结构,所述初始结构包括衬底,所述衬底具有下互连级、在所述下互连级上的第一介电盖帽层、在所述第一介电盖帽层上的绝缘层以及具有在所述绝缘层上的顶表面的构图硬掩膜层,所述下互连级包括其中嵌入有第一导电结构元件的第一介电层,其中所述绝缘层具有部分地延伸通过所述第一介电盖帽层的过孔,所述过孔具有侧壁和底部;在所述过孔的所述侧壁和所述底部以及所述硬掩膜层的所述顶表面之上形成第一电极层;在所述第一电极层之上形成第一高k介电材料层;在所述第一高k介电材料层之上形成第一导电板层;通过去除所述第一导电板层的一部分、所述第一高k介电材料层的一部分、所述第一电极层的一部分、所述第一介电盖帽层的一部分以及所述第一导电结构元件的一部分,在所述过孔的所述底部处形成过孔开槽(gouging),所述过孔开槽具有侧壁和底部并且部分地延伸通过所述第一导电结构元件;在所述第一导电板层之上以及在所述过孔开槽的所述侧壁和所述底部之上形成第二高k介电材料层;选择性地去除在所述过孔开槽的所述下侧壁和所述底部之上的所述第二高k介电材料层;利用第二电极材料填充所述过孔和所述过孔开槽;部分地去除所述第二电极材料以在所述过孔的所述顶部处形成凹陷;在所述凹陷中形成第二介电盖帽层;以及在所述绝缘层之上形成上互连级,所述上互连级包括其中嵌入有第二导电结构元件的第二介电层,其中第二导电结构元件与所述第一导电板层接触。
附图说明
包括附图以提供对本发明的进一步理解,并且在本说明书中并入附图并且附图构成本说明书的一部分。附图图示了本发明的实施例,并且与描述一起用于解释本发明的原理。
图1至图11是根据本发明实施例的在各种处理阶段处的具有浮置导电板的3D过孔电容器的截面图。
图12是根据本发明实施例的具有浮置导电板的两个3D过孔电容器的阵列的截面图。
图13是根据本发明实施例的具有浮置导电板的三个3D过孔电容器的阵列的截面图。
将明白的是,为图示的简洁和清楚起见,不一定按比例绘出附图中所示的元件。例如,为清晰的目的,一些元件的尺寸相对于其他元件而言可以放大。
具体实施方式
以下将参照其中示出本发明优选实施例的附图,更充分地描述本发明。然而,本发明可以按照许多不同的形式实施并且不应被认为是限制于这里阐述的图示实施例。而是提供这些实施例使得本公开将是透彻和完整的,并且将向本领域技术人员充分传达本发明的范围。贯穿整个附图,类似的标号指代类似的特征。
将明白的是,当诸如层之类的元件涉及在另一元件“上”或“上方”时,其可以直接在其它元件上或者也可以存在中间元件。相比之下,当元件涉及直接在另一元件上或直接在另一元件上方时,不存在中间元件。
本发明提供三维(3D)过孔电容器,其具有悬置于两个电极之间的至少一个浮置导电板。术语“3D”指代如下事实:过孔电容器的两个电极的至少一个部分基本垂直于衬底,该衬底上构建该电容器。该垂直结构导致对传统MIM电容器的减少衬底空间的要求。至少一个浮置导电板基本平行于两个电极中的至少一个电极。由于存在至少一个浮置导电板,相比上述常规MIM电容器而言,本发明的电容器具有提高的可靠性。本发明还提供具有进一步提高的可靠性的这种3D过孔电容器的阵列。
在一种实施例中,本发明的电容器包括衬底上的绝缘层,所述绝缘层包括具有侧壁和底部的过孔;第一电极,叠置在所述过孔的所述底部的至少一部分和所述侧壁之上;高k介电材料层,形成为叠置在所述第一电极之上,并且形成为使得所述过孔的剩余部分未填充;第二电极,形成在所述过孔的所述剩余部分中;以及第一导电板,嵌入在高k介电材料层中。第一导电板与第一电极基本平行并且不与第一电极和第二电极接触。
参照图1,提供结构100。结构100包括下互连级102、在下互连级102之上的绝缘层110以及在绝缘层110之上的构图硬掩膜层112。下互连级102可以位于包括一个或多个半导体器件的半导体衬底(未示出)之上。下互连级102包括介电层104和嵌入在介电层104中的导电结构元件106。优选地,下互连级102还包括扩散阻挡层(未示出),该扩散阻挡层将导电结构元件106与介电层104分开。
图1的绝缘层110具有位于其中的过孔114。过孔114位于第一导电结构元件106之上。优选地,初始结构100具有位于下互连级102与绝缘层110之间的介电盖帽层108。在这种情况下,过孔114部分地延伸通过介电盖帽层108并露出介电盖帽层108的直接位于第一导电结构元件106之上的部分。过孔114可以具有圆筒、立方体或长方体的形状。
使用构图硬掩膜112作为刻蚀掩膜来在绝缘层110中形成过孔114。尽管图1所示的结构图示了单个过孔114,但是本发明构思了在绝缘层110中形成任意数目的这种过孔。
可以通过本领域技术人员熟知的常规技术来制成结构100。例如,结构100可以通过首先将介电层104涂覆到衬底(未示出)的表面来形成。衬底可以是半传导材料、绝缘材料、传导材料或前述材料中的两种或更多种的组合。当衬底包括半传导材料时,可以使用诸如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP之类的半导体材料或其它III/V或II/VI族半导体材料。除了这些列出的类型的半传导材料之外,本发明还构思其中衬底为分层半导体诸如Si/SiGe、Si/SiC、绝缘体上硅(SOI)或绝缘体上硅锗(SGOI)的情况。当衬底为半传导材料时,可以在其上制造诸如互补型金属氧化物半导体(CMOS)器件之类的一个或更多个半导体器件。
当衬底为绝缘材料时,绝缘材料可以为有机绝缘体、无机绝缘体或有机绝缘体与无机绝缘体的组合。衬底可以为单层或多层。
当衬底为传导材料时,衬底可以包括例如多晶硅、元素金属、元素金属的合金、金属硅化物、金属氮化物或前述材料中的两种或更多种的组合。衬底可以为单层或多层。
下互连级102的介电层104可以为包括无机电介质或有机电介质的任意级间或级内电介质。介电层104可以是多孔或非多孔的。可以用作介电层104的适当电介质的示例包括但不限于SiO2、硅氧烷、包括Si、C、O和H的C掺杂氧化物(即有机硅酸盐)、热固性聚芳乙醚或其多层。在本申请中使用术语“聚芳”来表示通过键、熔环或诸如氧、硫、砜、亚砜、羟基等的惰性链基团链接在一起的芳基基团或取代芳基基团。
优选地,介电层104具有大约4.0或更小的介电常数。更优选地,介电层104具有大约2.8或更小的介电常数。与具有高于4.0的介电常数的介电材料相比,这些电介质通常具有较低寄生串扰。这里提及的介电常数是在真空中测得的。
介电层104的厚度可以根据下互连级102内的介电层的准确数目以及使用的介电材料而变化。典型地,并且对于通常的互连结构而言,介电层104具有从大约200nm到大约450nm的厚度。
下互连级102的导电结构元件106可以通过光刻形成。例如,将光致抗蚀剂层涂覆到介电层104的表面。使光致抗蚀剂层曝光于期望的辐射图案。利用常规抗蚀剂显影剂来对曝光的光致抗蚀剂层进行显影。使用构图的光致抗蚀剂层作为刻蚀掩膜来将图案转印到介电层104中。然后利用导电材料填充介电材料104的刻蚀区域以形成导电结构元件106。
导电结构元件106可以由包括但不限于多晶硅、导电金属、两种或更多种导电金属的合金、导电金属硅化物和前述材料中的两种或更多种的组合的材料形成。优选地,导电结构元件106为诸如Cu、W、Al、Ti、Ta、Au或前述金属的合金之类的导电金属。更优选地,导电结构元件106为Cu或Cu合金(诸如AlCu)。使用常规沉积工艺,将导电结构元件106填充到介电层104的刻蚀区域中,该常规沉积工艺包括但不限于化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、溅射、化学溶液沉积或镀覆。在沉积之后,可以使用诸如化学机械抛光(CMP)之类的常规平坦化工艺来提供如下结构:其中导电结构元件106具有与介电层104的上表面基本共面的上表面。
导电结构元件106优选地通过扩散阻挡层(未示出)与介电层104分离。扩散阻挡层可以包括但不限于Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WN或可以用作阻挡层来防止导电材料扩散到介电材料层中的任意其它材料。扩散阻挡层可以通过诸如原子层沉积(ALD)、CVD、PECVD、物理气相沉积(PVD)、溅射、化学溶液沉积或镀覆之类的沉积工艺来形成。扩散阻挡层也可以包括双层结构,该双层结构包括诸如TaN之类的金属氮化物的下层和诸如Ta之类的上金属层。
在介电层104中形成导电结构元件106之后,在下互连级102的表面上形成介电盖帽层108。介电盖帽层108通过诸如CVD、PECVD、化学溶液沉积或蒸发之类的常规沉积工艺形成。介电盖帽层108可以为任意合适介电盖帽材料,包括但不限于SiC、Si4NH3、SiO2、碳掺杂氧化物、氮和氢掺杂碳化硅(SiC(N,H))或其多层。介电盖帽层108的厚度可以根据采用的材料和沉积工艺的确切手段而变化。典型地,介电盖帽层108具有从约15nm到约55nm的厚度,其中从约25nm到约45nm的厚度更为典型。
接下来,在介电盖帽层108的上露出表面上形成绝缘层110。绝缘层110可以是与介电层104相同或不同的介电材料。优选地,绝缘层110是与第一介电层104相同的介电材料。可以用作绝缘层110的适合电介质的示例包括但不限于SiO2、硅氧烷、包括Si、C、O和H原子的C掺杂氧化物(即有机硅酸盐)、热固性聚芳乙醚或其多层。优选地,绝缘层110具有约4.0或更小的介电常数。更优选地,绝缘层110具有约2.8或更小的介电常数。用于介电层104的处理技术和厚度范围这里也适用于绝缘层110。
绝缘层110也可以包括两种不同的材料,即,首先沉积一种介电材料,之后沉积另一种介电材料。在本发明的一个实施例中,绝缘层110包括两种不同的低k介电材料,诸如多孔低k介电材料和致密(或非多孔)低k介电材料。在这样的实施例中,多孔低k电介质具有大约2.8或更小的介电常数,并且致密多孔低k电介质具有大约4.0或更小的介电常数。
接下来,通过首先在绝缘层110的上表面顶部上形成构图硬掩膜层112,将过孔114形成到绝缘层110中。硬掩膜层112包括氧化物、氮化物、氮氧化物或前述材料中的两种或更多种的组合。硬掩膜层112可以具有单层或多层结构。优选地,硬掩膜层112为诸如SiO2之类的氧化物或诸如Si3N4之类的氮化物。硬掩膜层112利用诸如CVD、PECVD、化学溶液沉积或蒸发之类的常规沉积工艺来形成。这样沉积的硬掩膜层112的厚度可以根据所形成的硬掩膜材料的类型、构成硬掩膜层112的层数及其形成时使用的沉积技术而变化。典型地,这样沉积的硬掩膜层112具有从约10nm到约80nm的厚度,其中从约20nm到约60nm的厚度更为典型。
通过光刻工艺对硬掩膜层112进行构图。通过诸如旋转涂覆或化学溶液沉积之类的常规沉积工艺,在硬掩膜层112顶部上形成光致抗蚀剂(未示出)。光致抗蚀剂可以为正型或负型光致抗蚀剂。然后使光致抗蚀剂经受光刻工艺,该光刻工艺包括使光致抗蚀剂曝光于辐射图案并且利用常规抗蚀剂显影剂对曝光的抗蚀剂进行显影。光刻步骤在硬掩膜层112顶部上提供限定过孔114的宽度的构图光致抗蚀剂。将过孔图案转印到硬掩膜层112中并且然后利用一种或更多个刻蚀工艺随后转印到绝缘层110和介电盖帽层108中。
可以在将过孔图案转印到硬掩膜层112中之后,通过常规剥离工艺立即剥离构图光致抗蚀剂。备选地,可以在将过孔图案转印到绝缘层110和介电盖帽层108中之后,剥离构图光致抗蚀剂。在转印过孔图案时使用的刻蚀可以包括干法刻蚀工艺、湿法化学刻蚀工艺或其组合。这里使用术语“干法刻蚀”来表示诸如反应离子刻蚀、离子束刻蚀、等离子体刻蚀或激光烧蚀之类的刻蚀技术。
参照图2A和图2B,在过孔114的侧壁和底部之上以及在硬掩膜层112的顶表面之上形成第一电极层118。优选地,第一电极层118为导电材料。适合于第一电极层118的导电材料包括但不限于Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Ti和前述材料中的两种或更多种材料的合金。第一电极层118可以利用诸如PVD、CVD、PECVD、原子层沉积(ALD)工艺或等离子体增强原子层沉积(PEALD)之类的常规沉积工艺来形成。典型地,第一电极层118具有从约1nm到约50nm的厚度,其中从约2nm到约20nm的厚度更为典型。
然后在第一电极层118之上形成第一高k介电材料层120。适合于第一高k介电层120的材料包括但不限于氧化物-氮化物-氧化物、SiO2、TaO5、PSiNx、Si3N4、SiON、SiC、TaO2、ZrO2、HfO2、HfSiO2、Al2O3和前述材料中的两种或更多种的任意组合。优选地,第一高k介电层120具有大约5到大约60的介电常数。更优选地,第一高k介电层120具有大约8到大约40的介电常数。这里提到的介电常数是在真空中测得的。第一高k介电层120可以利用诸如CVD、PECVD、ALD或PEALD之类的常规沉积工艺来形成。典型地,第一高k介电层120具有从大约1nm到大约40nm的厚度,其中从大约2nm到大约10nm的厚度更为典型。
优选地,在形成第一电极层118之前,在过孔114的侧壁和底部之上以及在硬掩膜层112的顶表面之上形成阻挡衬垫层116(图2B)。然后在阻挡衬垫层116之上形成第一电极层118。阻挡衬垫层116增强绝缘层110与第一电极层118之间的粘附。阻挡衬垫层116也防止过孔内的任意金属材料扩散到绝缘层110中。阻挡衬垫层116可以是金属性的、绝缘的或二者的组合。适合于阻挡衬垫层116的材料包括但不限于Ta(N)、Ti(N)、W(N)、SiO2、Si3N4和SiC。阻挡衬垫层116可以利用诸如PVD、CVD、PECVD、ALD或PEALD之类的常规沉积工艺来形成。典型地,阻挡衬垫层116具有从大约2nm到大约30nm的厚度,其中从大约3nm到大约10nm的厚度更为典型。
在图3中,第一导电板层122形成在第一高k介电材料层120之上。第一导电板层122可以由包括Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Ti或前述材料中的两种或更多种的合金的材料形成。如图3所示,第一导电板层122与第一电极层118基本平行。第一导电板层122可以利用诸如PVD、CVD、PECVD、ALD或PEALD之类的常规沉积工艺来形成。典型地,第一导电板层122具有从大约1nm到大约50nm的厚度,其中从大约2nm到大约30nm的厚度更为典型。
在图4A和图4B中,去除第一导电板层122的一部分、第一高k介电材料层120的一部分、第一电极层118的一部分、第一介电盖帽层116的一部分以及第一导电结构元件106的一部分,以在过孔114的底部形成过孔开槽124。过孔开槽124部分地延伸通过第一导电结构元件106。采用定向溅射工艺来形成过孔开槽124。利用包括但不限于Ar、He、Xe、Ne、Kr、Rn、N2、NH3或H2的气体源进行定向溅射工艺。
用于创建过孔开槽124的定向溅射工艺也从位于过孔114外部且在硬掩膜层112的顶表面之上的填充区域中去除第一导电板层122。此外,也去除位于过孔114的顶部附近的第一导电板层122的部分。作为结果,第一导电板层122的顶端落在硬掩膜层112的顶表面以下。定向工艺之后的第一导电板层122的高度由用于创建开槽结构元件的定向溅射的量控制。在一个实施例中,在定向溅射工艺之后,第一导电板层122的顶端位于硬掩膜层112的顶表面和底表面之间(图4A)。在另一实施例中,第一导电板层122的顶端位于硬掩膜层112的底表面以下(图4B)。
在图5中,在第一导电板层122之上以及在过孔开槽124的侧壁和底部之上形成第二高k介电材料层126。适合于第二高k介电层126的材料包括但不限于氧化物-氮化物-氧化物、SiO2、TaO5、PSiNx、Si3N4、SiON、SiC、TaO2、ZrO2、HfO2、HfSiO2、A12O3和前述材料中的两种或更多种的任意组合。优选地,第二高k介电层126由与第一高k介电层120相同的材料形成。优选地,第二高k介电层126具有大约5到大约60的介电常数。更优选地,第二高k介电层126具有大约8到大约40的介电常数。这里提到的介电常数是在真空中测得的。第二高k介电层126可以利用诸如CVD、PECVD、ALD或PEALD之类的常规沉积工艺来形成。典型地,第二高k介电层126具有从大约1nm到大约40nm的厚度,其中从大约2nm到大约10nm的厚度更为典型。
使用轻微定向刻蚀/溅射工艺来从过孔开槽124的底部和下侧壁选择性地去除第二高k介电材料层126(图6)。该轻微定向溅射工艺也从场区域中去除少量的第二高k介电层126。该工艺的主要目的在于使得在电容器与下方的互连级102之间进行电接触。利用包括但不限于Ar、He、Xe、Ne、Kr、Rn、N2、NH3或H2的气体源进行轻微定向溅射工艺。
在图7中,利用第二电极128填充过孔开槽124和过孔114的剩余部分。优选地,第二电极128为Cu、Al、W、Ru、Rh、Ir、Co或包括前述金属中的两种或更多种的合金。更优选地,第二电极128为Cu或AlCu合金。第二电极可以通过CVD、PECVD、溅射、化学溶液沉积或镀覆形成。如所示,第二电极128与第一导电结构元件106接触。
在利用第二电极128填充过孔114和过孔开槽124之前,可以形成可任选的粘附/镀覆籽晶层(未示出)。可任选的粘附/镀覆籽晶层由来自元素周期表的VIIIA族的金属或金属合金构成。用于粘附/镀覆籽晶层的合适VIIIA族元素的示例包括但不限于Ru、TaRu、Ir、Rh、Pt、Pd、Co和其合金。在一些实施例中,优选使用Ru、Ir、Co或Rh作为粘附/镀覆籽晶层。
粘附/镀覆籽晶层通过包括例如CVD、PECVD、ALD、镀覆、溅射和PVD的常规沉积工艺来形成。粘附/镀覆籽晶层的厚度可以根据包括例如粘附/镀覆籽晶层的组成材料及其形成中使用的技术的因数数目而变化。典型地,粘附/镀覆籽晶层具有从大约0.5nm到大约10nm的厚度,其中大约0.5nm到大约6nm的厚度更为典型。
在图8中,去除在过孔顶部附近和场区域中的第二电极材料128以形成凹陷130。凹陷130可以经由首先通过化学机械抛光(CMP)工艺和/或研磨工艺去除过孔114外部的第二电极材料而形成。然后通过湿法刻蚀工艺去除第二电极材料的、在过孔114的顶部附近的部分。优选地,使用包括HF、HCl、H2SO4或所述前述材料的两种或更多种的任意组合的化学剂来执行湿法刻蚀工艺。
形成第二介电盖帽层132以填充凹陷130(图9)。类似于节点盖帽层108,第二介电盖帽层130可以通过诸如CVD、PECVD、化学溶液沉积或蒸发之类的常规沉积工艺来形成。第二介电盖帽层130可以是任意合适介电盖帽材料,包括但不限于SiC、Si4NH3、SiO2、碳掺杂氧化物、氮和氢掺杂碳化硅(SiC(N,H))或其多层。
接下来,使图9所示的结构经受抛光工艺,以去除留在场区域中的各种层。在一个实施例中,抛光工艺停止在硬掩膜层112上(图10A)。在另一实施例中,当第一导电板层122的顶端位于硬掩膜层112的底表面以下时,直到去除整个硬掩膜层112为止抛光工艺才停止(图10B)。
在图11中,在绝缘层110之上形成下层互连级134。下层互连级134具有第二介电层136,在第二介电层136中嵌入有第二导电结构元件138。
第二介电层136可以为包括无机电介质或有机电介质的任意级间或级内电介质。第二介电层136可以为多孔或非多孔的。可以用作第二介电层136的合适电介质的示例包括但不限于SiO2、硅氧烷、包括Si、C、O和H原子的C掺杂氧化物(即有机硅酸盐)、热固性聚芳乙醚或其多层。
优选地,第二介电层136具有大约4.0或更小的介电常数。更优选地,第二介电层136具有大约2.8或更小的介电常数。这里提到的介电常数是在真空中测得的。
第二介电层136的厚度可以根据使用的介电材料以及上互连级134内的介电层的准确数目而变化。典型地,并且对于正常互连结构而言,第二介电层136具有从大约200nm到大约450nm的厚度。
类似于导电结构元件106,可以通过光刻来形成第二导电结构元件138。第二导电结构元件138可以由如下材料形成:包括但不限于多晶硅、导电金属、两种或更多种导电金属的合金、导电金属硅化物和前述材料中的两种或更多种的组合。优选地,第二导电结构元件138为诸如Cu、W、Al或前述金属的合金之类的导电金属。更优选地,第二导电结构元件138为Cu或Cu合金(诸如AlCu)。可以使用诸如CMP之类的常规平坦化工艺来提供如下结构:其中第二导电结构元件138具有与第二介电层136的上表面基本共面的上表面。
第二导电结构元件138优选地通过扩散阻挡层(未示出)而与第二介电层136分离。扩散阻挡层可以包括但不限于Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WN或可以用作阻挡以防止导电材料扩散到介电材料层中的任意其它材料。扩散阻挡层可以通过诸如原子层沉积(ALD)、CVD、PECVD、物理气相沉积(PVD)、溅射、化学溶液沉积或镀覆之类的沉积工艺来形成。扩散阻挡层也可以包括双层结构,该双层结构包括诸如TaN之类的金属氮化物的下层和诸如Ta之类的下金属层。
如图11所示,在此阶段,第一电极层118具有第一部分118a,该第一部分118a与下互连级102和下覆衬底(未示出)基本垂直。第一电极层118也具有第二部分118b,该第二部分118b与下互连级102和下覆衬底基本平行。优选地,第一部分118a的高度与第二部分118b的长度之比大于2。更优选地,第一部分118a的高度与第二部分118b的长度之比大于4。第一导电板122由第一高k介电材料层120和第二高k介电材料层126围绕。第一导电板122不与第一电极层118和第二电极128接触。
注意,可以在形成第二电极128之前,在第二高k介电材料层126之上涂覆第二导电板层和第三高k介电材料层。这将导致在两个电极之间形成具有两个浮置导电板的电容器。第二导电板和第三高k介电材料层在第二高k介电材料层126与第二电极128之间。第二导电板与第一电极层118基本平行,并且不与第一电极118和第二电极128以及第一导电板122接触。第二导电板层可以通过如上所述用于形成第一导电板层122的技术和材料形成。类似地,第三高k介电材料层可以通过如上所述用于形成第一高k介电材料层120和第二高k介电材料层126的技术和材料形成。
为了进一步提高图11所示电容器结构的可靠性,形成这种电容器的阵列。通过将两个芯片(芯片1和2)键合在一起而形成图12所示的电容器200的阵列。芯片1包含第一电容器。芯片2包含第二电容器。第一电容器和第二电容器具有基本相同的结构。以使得芯片1上的第一电容器的第一导电结构元件206与芯片2上的第二电容器的第二导电结构元件338相接触的方式,将芯片1键合在芯片2的顶部上。然后形成导体240以连接芯片2上的第二电容器的第一导电结构元件306和芯片1上的第一电容器的第二导电结构元件238。导体240可以为导电金属、两种或更多种导电金属的合金、导电金属硅化物和前述材料中的两种或更多种的组合。优选地,导体240为诸如Cu、W、Al或前述金属的合金之类的导电金属。更优选地,导体240为Cu或Cu合金(诸如AlCu)。
在图13中,将第三芯片(芯片3)键合到图12上所示的结构以形成三个电容器300的阵列。芯片3具有第三电容器,该第三电容器分别具有与芯片1和芯片2上的第一电容器和第二电容器基本相同的结构,以使得芯片3上的第三电容器的第二导电结构元件438与芯片2上的第二电容器的第一导电结构元件306相接触的方式,将芯片3键合到芯片1和芯片2的阵列。然后形成第二导体242,以连接芯片3上的第三电容器的第一导电结构元件406和芯片2上的第二电容器的第二导电结构元件338。类似地,导体242可以为导电金属、两种或更多种导电金属的合金、导电金属硅化物以及前述材料中的两种或更多种的组合。优选地,导体242为诸如Cu、W、Al或前述金属的合金之类的导电金属。更优选地,导体242为Cu或Cu合金(诸如AlCu)。
尽管已经结合优选实施例具体示出和描述了本发明,但本领域技术人员将理解到,在不脱离本发明的精神和范围的情况下可以进行形式和细节上的前述和其它改变。因此,本发明不旨在限制于描述和图示的精确形式和细节,而是落入所附权利要求的范围内。

Claims (32)

1.一种电容器,包括:
衬底上的绝缘层,所述绝缘层包括具有侧壁和底部的过孔;
第一电极,叠置在所述过孔的所述底部的至少一部分和所述侧壁之上;
第一高k介电材料层,叠置在所述第一电极之上;
第一导电板,在所述第一高k介电材料层之上;
第二高k介电材料层,形成为叠置在所述第一导电板之上并且形成为使得所述过孔的剩余部分未填充;以及
第二电极,形成在所述过孔的所述剩余部分中,其中所述第一导电板与所述第一电极基本平行并且不与所述第一电极和所述第二电极接触。
2.根据权利要求1所述的电容器,还包括:在所述第二高k介电材料层与所述第二电极之间的第二导电板和第三高k介电材料层,其中所述第二导电板与所述第一电极基本平行并且不与所述第一电极和所述第二电极以及所述第一导电板接触。
3.根据权利要求1所述的电容器,还包括:
在所述衬底和所述绝缘层之间的下互连级,所述下互连级包括第一介电层,所述第一介电层具有在其中嵌入的第一导电结构元件;以及
在所述绝缘层之上的上互连级,所述上互连级包括第二介电层,所述第二介电层具有在其中嵌入的第二导电结构元件,其中所述第一电极与所述第二导电结构元件接触,并且所述第二电极与所述第一导电结构元件接触。
4.根据权利要求3所述的电容器,其中所述过孔具有部分地延伸通过所述第一导电结构元件的过孔开槽,并且所述过孔开槽填充有所述第二电极材料。
5.根据权利要求3所述的电容器,还包括第一介电盖帽层,位于所述下互连级与所述绝缘层之间。
6.根据权利要求3所述的电容器,还包括第二介电盖帽层,位于所述上互连级与所述第二电极之间。
7.根据权利要求3所述的电容器,还包括硬掩膜层,位于所述上互连级与所述绝缘层之间。
8.根据权利要求1所述的电容器,还包括阻挡衬垫层,位于所述第一电极与所述绝缘层之间。
9.根据权利要求1所述的电容器,其中所述第一电极具有基本垂直于所述衬底的第一部分和基本平行于所述衬底的第二部分。
10.根据权利要求9所述的电容器,其中所述第一部分的高度与所述第二部分的长度之比大于2。
11.根据权利要求1所述的电容器,其中所述第一电极包括Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al或Ti或前述材料中的两种或更多种材料的合金。
12.根据权利要求1所述的电容器,其中所述第一高k介电材料层和所述第二高k介电材料层由相同的介电材料形成,所述介电材料包括氧化物-氮化物-氧化物、SiO2、TaO5、PSiNx、Si3N4、SiON、SiC、TaO2、ZrO2、HfO2、HfSiO2或Al2O3或前述材料中的两种或更多种材料的任意组合。
13.根据权利要求12所述的电容器,其中所述介电材料具有范围为从约5到约60的介电常数。
14.根据权利要求1所述的电容器,其中所述第二电极包括Cu、Al、W、Ru、Rh、Ir或Co或前述金属中的两种或更多种金属的合金。
15.根据权利要求l所述的电容器,其中所述第一导电板包括Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al或Ti或前述材料中的两种或更多种材料的合金。
16.一种电容器的阵列,包括具有根据权利要求3所述的第二电容器的第二芯片,所述第二芯片键合在具有根据权利要求3所述的第一电容器的第一芯片的顶部上。
17.根据权利要求16所述的阵列,还包括具有第三电容器的第三芯片,所述第三芯片键合在所述第二芯片的顶部上,其中所述第三电容器具有与所述第一电容器和所述第二电容器基本相同的结构,所述第三电容器的所述第一导电结构元件与所述第二电容器的所述第二导电结构元件接触,并且所述第三电容器的所述第二导电结构元件通过第二导体连接到所述第二电容器的所述第一导电结构元件。
18.一种形成电容器的方法,包括:
提供衬底,所述衬底具有下互连级、在所述下互连级上的第一介电盖帽层、在所述第一介电盖帽层上的绝缘层以及具有在所述绝缘层上的顶表面的构图硬掩膜层,所述下互连级包括第一介电层,所述第一介电层具有在其中嵌入的第一导电结构元件,其中所述绝缘层具有部分地延伸通过所述第一介电盖帽层的过孔,所述过孔具有侧壁和底部;
在所述过孔的所述侧壁和所述底部以及所述硬掩膜层的所述顶表面之上形成第一电极层;
在所述第一电极层之上形成第一高k介电材料层;
在所述第一高k介电材料层之上形成第一导电板层;
通过去除所述第一导电板层的一部分、所述第一高k介电材料层的一部分、所述第一电极层的一部分、所述第一介电盖帽层的一部分以及所述第一导电结构元件的一部分,在所述过孔的所述底部处形成过孔开槽,所述过孔开槽具有侧壁和底部并且部分地延伸通过所述第一导电结构元件;
在所述第一导电板层之上以及在所述过孔开槽的所述侧壁和所述底部之上形成第二高k介电材料层;
选择性地去除在所述过孔开槽的下侧壁和所述底部处的所述第二高k介电材料层;
利用第二电极材料填充所述过孔和所述过孔开槽;
部分地去除所述第二电极材料以在所述过孔的所述顶部处形成凹陷;
在所述凹陷中形成第二介电盖帽层;以及
在所述绝缘层之上形成上互连级,所述上互连级包括第二介电层,所述第二介电层具有在其中嵌入的第二导电结构元件,其中第二导电结构元件与所述第一导电板层接触。
19.根据权利要求18所述的方法,其中所述提供所述衬底包括:
在所述第一介电层中形成所述第一导电结构元件以形成所述下互连级;
在所述下互连级上形成所述第一介电盖帽层;
在所述第一介电盖帽层上形成所述绝缘层;
在所述绝缘层上形成具有过孔图案的构图硬掩膜层;以及
将所述过孔图案转印到所述绝缘层中并部分地转印到所述第一介电盖帽层中。
20.根据权利要求18所述的方法,还包括:在所述形成所述第一电极层之前,在所述过孔的所述侧壁和所述底部以及所述硬掩膜层的所述顶表面之上形成阻挡衬垫层。
21.根据权利要求18所述的方法,其中所述形成所述过孔开槽包括利用气体源的定向溅射。
22.根据权利要求21所述的方法,其中所述气体源包括Ar、He、Xe、Ne、Kr、Rn、N2、NH3或H2
23.根据权利要求18所述的方法,其中所述形成所述第一电极层包括物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)工艺或等离子体增强原子层沉积(PEALD)。
24.根据权利要求23所述的方法,其中所述第一电极层包括Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al或Ti或前述材料中的两种或更多种材料的合金。
25.根据权利要求18所述的方法,其中所述形成所述第一高k介电材料层和所述形成所述第二高k介电材料层包括化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)工艺或等离子体增强原子层沉积(PEALD)。
26.根据权利要求25所述的方法,其中所述第一高k介电材料层和所述第二高k介电材料层由同一介电材料形成,所述介电材料包括氧化物-氮化物-氧化物、SiO2、TaO5、PSiNx、Si3N4、SiON、SiC、TaO2、ZrO2、HfO2、Al2O3或前述材料中的两种或更多种材料的任意组合。
27.根据权利要求18所述的方法,其中所述形成所述第一导电板层包括物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)工艺或等离子体增强原子层沉积(PEALD)。
28.根据权利要求27所述的方法,其中所述第一导电板层包括Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Ti或前述材料中的两种或更多种材料的合金。
29.根据权利要求18所述的方法,其中所述利用所述第二电极材料填充所述过孔和所述过孔开槽包括化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、溅射、化学溶液沉积或镀覆。
30.根据权利要求29所述的方法,其中所述第二电极材料包括Cu、Al、W、Ru、Rh、Ir、Co或前述金属中的两种或更多种金属的合金。
31.根据权利要求18所述的方法,其中所述部分地去除所述第二电极材料包括湿法刻蚀工艺。
32.根据权利要求31所述的方法,其中使用包括HF、HCl、H2SO4或所述前述材料中的两种或更多种材料的任意组合的化学剂来执行所述湿法刻蚀工艺。
CN201180048249.4A 2010-10-05 2011-08-16 用于提高可靠性的具有浮置导电板的3d过孔电容器 Active CN103155098B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/898,340 2010-10-05
US12/898,340 US8405135B2 (en) 2010-10-05 2010-10-05 3D via capacitor with a floating conductive plate for improved reliability
PCT/EP2011/064079 WO2012045509A1 (en) 2010-10-05 2011-08-16 3d via capacitor with a floating conductive plate for improved reliability

Publications (2)

Publication Number Publication Date
CN103155098A true CN103155098A (zh) 2013-06-12
CN103155098B CN103155098B (zh) 2016-03-23

Family

ID=44653267

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180048249.4A Active CN103155098B (zh) 2010-10-05 2011-08-16 用于提高可靠性的具有浮置导电板的3d过孔电容器

Country Status (6)

Country Link
US (3) US8405135B2 (zh)
JP (1) JP5754825B2 (zh)
CN (1) CN103155098B (zh)
DE (1) DE112011102446B4 (zh)
GB (1) GB2497484B (zh)
WO (1) WO2012045509A1 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103972080A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 Ono结构及ono电容的制作方法
CN104347631A (zh) * 2013-07-30 2015-02-11 台湾积体电路制造股份有限公司 使用组合间隔件的rram结构和工艺
CN104377189A (zh) * 2013-08-16 2015-02-25 台湾积体电路制造股份有限公司 具有侧壁层和超厚金属层的集成电路及其制造方法
CN104576598A (zh) * 2013-10-25 2015-04-29 台湾积体电路制造股份有限公司 具有与有源区分隔开的电容器的半导体布置
CN105655485A (zh) * 2014-10-28 2016-06-08 力晶科技股份有限公司 电阻式随机存取存储器及其制造方法
CN108376739A (zh) * 2018-01-25 2018-08-07 厦门市三安集成电路有限公司 一种化合物半导体器件电容结构及其制作方法
CN109698274A (zh) * 2017-10-23 2019-04-30 联华电子股份有限公司 电容的制作方法
CN110010588A (zh) * 2019-02-18 2019-07-12 西安电子科技大学 一种基于同轴硅通孔阵列的互补型三维宽带电容器
WO2020132884A1 (zh) * 2018-12-25 2020-07-02 深圳市汇顶科技股份有限公司 电容器和制备电容器的方法
CN112151496A (zh) * 2020-09-10 2020-12-29 复旦大学 一种内嵌电感的tsv结构及其制备方法
WO2022007445A1 (zh) * 2020-07-09 2022-01-13 复旦大学 三维电容制备方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101129909B1 (ko) * 2010-07-20 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 필라형 캐패시터 및 그 형성방법
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
CN108091563A (zh) * 2012-06-29 2018-05-29 索尼公司 半导体装置、半导体装置的制造方法和电子设备
US9203373B2 (en) 2013-01-11 2015-12-01 Qualcomm Incorporated Diplexer design using through glass via technology
US9935166B2 (en) 2013-03-15 2018-04-03 Qualcomm Incorporated Capacitor with a dielectric between a via and a plate of the capacitor
US9634640B2 (en) 2013-05-06 2017-04-25 Qualcomm Incorporated Tunable diplexers in three-dimensional (3D) integrated circuits (IC) (3DIC) and related components and methods
US9264013B2 (en) 2013-06-04 2016-02-16 Qualcomm Incorporated Systems for reducing magnetic coupling in integrated circuits (ICS), and related components and methods
US10014843B2 (en) * 2013-08-08 2018-07-03 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with embedded filters
CN105321886B (zh) * 2014-05-29 2019-07-05 联华电子股份有限公司 电容器结构及其制造方法
WO2016209200A1 (en) * 2015-06-22 2016-12-29 Intel Corporation On-chip through-body-via capacitors and techniques for forming same
TWI581441B (zh) * 2015-09-08 2017-05-01 力晶科技股份有限公司 多層王冠型金屬-絕緣體-金屬電容器結構及其製作方法
CN108028245A (zh) * 2015-09-23 2018-05-11 南洋理工大学 半导体器件及形成其的方法
US9496326B1 (en) 2015-10-16 2016-11-15 International Business Machines Corporation High-density integrated circuit via capacitor
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9640608B1 (en) * 2016-02-25 2017-05-02 Globalfoundries Inc. Serial capacitor device with middle electrode contact and methods of making same
US10115784B2 (en) * 2016-03-17 2018-10-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, MIM capacitor and associated fabricating method
US9716088B1 (en) * 2016-06-30 2017-07-25 International Business Machines Corporation 3D bonded semiconductor structure with an embedded capacitor
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
CN108735741B (zh) * 2017-04-13 2020-10-09 联华电子股份有限公司 存储器元件中的存储点接触结构与其制作方法
CN108987374B (zh) * 2018-06-22 2020-06-26 西安理工大学 一种基于tsv和rdl的三维电容器
CN109727908B (zh) * 2018-11-26 2020-11-17 长江存储科技有限责任公司 3d nand存储器件中导电插塞的形成方法及3d nand存储器件
CN109887916B (zh) * 2018-12-27 2020-12-08 华中科技大学 非易失性三维半导体存储器的双向栅电极及其制备方法
US11901281B2 (en) * 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
CN111971791A (zh) * 2019-03-19 2020-11-20 深圳市汇顶科技股份有限公司 电容器及其制作方法
WO2021113257A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation In-situ pecvd cap layer
TWI737087B (zh) * 2019-12-19 2021-08-21 力晶積成電子製造股份有限公司 半導體結構及其製造方法
US11211362B2 (en) * 2020-03-20 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D trench capacitor for integrated passive devices
WO2021195997A1 (en) 2020-03-31 2021-10-07 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and method for forming the same
CN113497186A (zh) * 2020-04-01 2021-10-12 联华电子股份有限公司 并联的电容结构及其制作方法
US11081523B1 (en) * 2020-05-14 2021-08-03 Globalfoundries Singapore Pte. Ltd. Memory devices and methods of forming memory devices
US11538751B2 (en) 2020-09-03 2022-12-27 Globalfoundries Singapore Pte. Ltd. Inductor capacitor filter in far back end of line and integration schemes
US20230099042A1 (en) * 2021-09-30 2023-03-30 Macom Technology Solutions Holdings, Inc. Semiconductor Device and Method of Forming Monolithic Surge Protection Resistor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153901A (en) * 1999-01-26 2000-11-28 Lucent Technologies Inc. Integrated circuit capacitor including anchored plug
US6261895B1 (en) * 1999-01-04 2001-07-17 International Business Machines Corporation Polysilicon capacitor having large capacitance and low resistance and process for forming the capacitor
US20040056324A1 (en) * 2002-09-23 2004-03-25 Ning Xian J. MIM capacitor structures and fabrication methods in dual-damascene structures
US20070032062A1 (en) * 2005-08-06 2007-02-08 Lee Boung J Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby
US20070152258A1 (en) * 2005-12-29 2007-07-05 Do Hun Kim Semiconductor device with a capacitor
US20080157276A1 (en) * 2006-12-29 2008-07-03 Hyung-Jin Park Semiconductor capacitor and manufacturing method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5055966A (en) 1990-12-17 1991-10-08 Hughes Aircraft Company Via capacitors within multi-layer, 3 dimensional structures/substrates
JPH05251637A (ja) * 1992-03-05 1993-09-28 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
JPH08279596A (ja) 1995-04-05 1996-10-22 Mitsubishi Electric Corp 集積回路装置,及びその製造方法
JPH1093041A (ja) * 1996-09-13 1998-04-10 Toshiba Corp 半導体記憶装置
US5879985A (en) 1997-03-26 1999-03-09 International Business Machines Corporation Crown capacitor using a tapered etch of a damascene lower electrode
US6111285A (en) * 1998-03-17 2000-08-29 Micron Technology, Inc. Boride electrodes and barriers for cell dielectrics
US6565730B2 (en) 1999-12-29 2003-05-20 Intel Corporation Self-aligned coaxial via capacitors
US6461914B1 (en) 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6888217B2 (en) 2001-08-30 2005-05-03 Micron Technology, Inc. Capacitor for use in an integrated circuit
US6720608B2 (en) 2002-05-22 2004-04-13 United Microelectronics Corp. Metal-insulator-metal capacitor structure
US6670237B1 (en) 2002-08-01 2003-12-30 Chartered Semiconductor Manufacturing Ltd. Method for an advanced MIM capacitor
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
DE102005047111B3 (de) * 2005-09-30 2007-06-21 Infineon Technologies Ag Verfahren zur Herstellung eines MIM-Kondensators
US20100224960A1 (en) * 2009-03-04 2010-09-09 Kevin John Fischer Embedded capacitor device and methods of fabrication
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261895B1 (en) * 1999-01-04 2001-07-17 International Business Machines Corporation Polysilicon capacitor having large capacitance and low resistance and process for forming the capacitor
US6153901A (en) * 1999-01-26 2000-11-28 Lucent Technologies Inc. Integrated circuit capacitor including anchored plug
US20040056324A1 (en) * 2002-09-23 2004-03-25 Ning Xian J. MIM capacitor structures and fabrication methods in dual-damascene structures
US20070032062A1 (en) * 2005-08-06 2007-02-08 Lee Boung J Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby
US20070152258A1 (en) * 2005-12-29 2007-07-05 Do Hun Kim Semiconductor device with a capacitor
US20080157276A1 (en) * 2006-12-29 2008-07-03 Hyung-Jin Park Semiconductor capacitor and manufacturing method

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347631A (zh) * 2013-07-30 2015-02-11 台湾积体电路制造股份有限公司 使用组合间隔件的rram结构和工艺
CN104347631B (zh) * 2013-07-30 2017-04-12 台湾积体电路制造股份有限公司 使用组合间隔件的rram结构和工艺
CN104377189A (zh) * 2013-08-16 2015-02-25 台湾积体电路制造股份有限公司 具有侧壁层和超厚金属层的集成电路及其制造方法
CN104377189B (zh) * 2013-08-16 2018-02-16 台湾积体电路制造股份有限公司 具有侧壁层和超厚金属层的集成电路及其制造方法
CN104576598B (zh) * 2013-10-25 2019-04-02 台湾积体电路制造股份有限公司 具有与有源区分隔开的电容器的半导体布置
CN104576598A (zh) * 2013-10-25 2015-04-29 台湾积体电路制造股份有限公司 具有与有源区分隔开的电容器的半导体布置
CN103972080A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 Ono结构及ono电容的制作方法
CN105655485A (zh) * 2014-10-28 2016-06-08 力晶科技股份有限公司 电阻式随机存取存储器及其制造方法
CN105655485B (zh) * 2014-10-28 2018-08-24 力晶科技股份有限公司 电阻式随机存取存储器及其制造方法
CN109698274A (zh) * 2017-10-23 2019-04-30 联华电子股份有限公司 电容的制作方法
US10784334B2 (en) 2017-10-23 2020-09-22 United Microelectronics Corp. Method of manufacturing a capacitor
CN108376739A (zh) * 2018-01-25 2018-08-07 厦门市三安集成电路有限公司 一种化合物半导体器件电容结构及其制作方法
CN108376739B (zh) * 2018-01-25 2021-12-21 厦门市三安集成电路有限公司 一种化合物半导体器件电容结构及其制作方法
WO2020132884A1 (zh) * 2018-12-25 2020-07-02 深圳市汇顶科技股份有限公司 电容器和制备电容器的方法
US11239308B2 (en) 2018-12-25 2022-02-01 Shenzhen GOODIX Technology Co., Ltd. Capacitor and method for producing capacitor
CN110010588A (zh) * 2019-02-18 2019-07-12 西安电子科技大学 一种基于同轴硅通孔阵列的互补型三维宽带电容器
CN110010588B (zh) * 2019-02-18 2020-09-22 西安电子科技大学 一种基于同轴硅通孔阵列的互补型三维宽带电容器
WO2022007445A1 (zh) * 2020-07-09 2022-01-13 复旦大学 三维电容制备方法
CN112151496A (zh) * 2020-09-10 2020-12-29 复旦大学 一种内嵌电感的tsv结构及其制备方法
CN112151496B (zh) * 2020-09-10 2022-04-08 复旦大学 一种内嵌电感的tsv结构及其制备方法

Also Published As

Publication number Publication date
JP2013539915A (ja) 2013-10-28
GB2497484B (en) 2014-04-23
US8779491B2 (en) 2014-07-15
US20130161791A1 (en) 2013-06-27
DE112011102446T5 (de) 2013-08-22
CN103155098B (zh) 2016-03-23
US8405135B2 (en) 2013-03-26
DE112011102446B4 (de) 2018-04-26
WO2012045509A1 (en) 2012-04-12
US8609504B2 (en) 2013-12-17
US20120080771A1 (en) 2012-04-05
GB201305594D0 (en) 2013-05-15
JP5754825B2 (ja) 2015-07-29
GB2497484A (en) 2013-06-12
US20130164905A1 (en) 2013-06-27

Similar Documents

Publication Publication Date Title
CN103155098B (zh) 用于提高可靠性的具有浮置导电板的3d过孔电容器
CN102148261B (zh) 电容器结构的制造方法
US7776715B2 (en) Reverse construction memory cell
CN101207019B (zh) 金属-绝缘层-金属电容器及其制造方法
US7186625B2 (en) High density MIMCAP with a unit repeatable structure
JP5638408B2 (ja) 半導体装置及び半導体装置の製造方法
CN103915384B (zh) 半导体结构及其形成方法
CN102237364B (zh) 存储器件的制造方法
CN103579175A (zh) 具有阻挡层的铜接触插塞
KR20100087915A (ko) 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
JP2005322925A (ja) メモリ素子のキャパシタ及びその製造方法
JP2000101048A (ja) コンデンサ及びコンデンサの作製方法
US7745280B2 (en) Metal-insulator-metal capacitor structure
US7365412B2 (en) Vertical parallel plate capacitor using spacer shaped electrodes and method for fabrication thereof
US20090051034A1 (en) Semiconductor device and method for the same
KR20110089028A (ko) 필라형 전하저장전극을 구비한 캐패시터 제조 방법
JP2012160492A (ja) 半導体装置及び半導体装置の製造方法
CN114464593A (zh) 半导体结构及其制造方法
KR20220167201A (ko) 반도체 디바이스 및 제조 방법
CN114759032A (zh) 半导体结构及其制造方法
KR101419894B1 (ko) 전기적 기계적 소자를 포함하는 디램 및 이의 제조 방법
CN117015240A (zh) 制造电容器的方法以及使用该电容器制造dram元件的方法
KR100609533B1 (ko) 반도체소자의 캐패시터 형성방법
KR20070054933A (ko) 반도체 소자의 제조방법
KR20030002316A (ko) 화학적 기계적 연마를 이용한 커패시터의 하부 전극 분리방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant