CN102388442A - 原位形成的包括应变诱导合金及梯度掺杂分布的源漏区 - Google Patents

原位形成的包括应变诱导合金及梯度掺杂分布的源漏区 Download PDF

Info

Publication number
CN102388442A
CN102388442A CN201080014189XA CN201080014189A CN102388442A CN 102388442 A CN102388442 A CN 102388442A CN 201080014189X A CN201080014189X A CN 201080014189XA CN 201080014189 A CN201080014189 A CN 201080014189A CN 102388442 A CN102388442 A CN 102388442A
Authority
CN
China
Prior art keywords
source
strain inducing
dopant species
semiconducting alloy
strain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201080014189XA
Other languages
English (en)
Inventor
J·亨齐尔
V·帕帕耶奥尔尤
U·格里布诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/EP2010/000492 external-priority patent/WO2010086154A1/en
Publication of CN102388442A publication Critical patent/CN102388442A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Abstract

可基于原位(in-situ)掺杂的应变诱导半导体合金获得晶体管的掺杂分布,其中,可沿高度方向建立梯度掺杂浓度。因此,该半导体合金可邻近沟道区设置,以提升总体的应变诱导效率,同时不会过分影响最终获得的掺杂分布。而且,可在选择性生长该半导体合金之前纳入额外的注入种类,以避免因注入引起的内部应变松弛。

Description

原位形成的包括应变诱导合金及梯度掺杂分布的源漏区
技术领域
本发明涉及集成电路,尤其涉及晶体管,其具有复杂掺杂分布并包括应变诱导合金,例如硅/锗合金,以在沟道区中产生应变。
背景技术
依据特定的电路布局,在特定的芯片面积上,集成电路包括大量的电路组件,其中,晶体管,例如场效应晶体管,是重要的组件,其用作开关组件、电流和/或电压放大器。该些晶体管形成于基本结晶的半导体区域之中及上方,其中,该基本结晶半导体区域具有额外的掺杂材料形成于特定的基板位置以充当有源區,亦即,至少临时充当导电区域以形成控制电流。一般而言,目前实施多种制程技术,其中,对于复杂电路例如微处理器、储存芯片等,MOS技术因其在运行速度和/或和/或功耗和/或成本效益方面的优越特性而成为当前最有前景的技术。在利用例如MOS技术制造复杂集成电路期间,数百万的晶体管,亦即n沟道晶体管及p沟道晶体管,形成于包括结晶半导体层的基板上。晶体管,不论是n沟道晶体管还是p沟道晶体管或任意其它晶体管架构,都包括pn结,其由高掺杂区域,例如源漏区,与邻近该高掺杂区域设置的轻掺杂或非掺杂区,例如沟道区,之间的接口形成。对于场效应晶体管,沟道区的电导率由邻近该沟道区并藉由薄绝缘层与该沟道区隔离的栅极电极控制。因在该栅极电极施加合适的控制电压而形成导电沟道时,该沟道区的电导率取决于掺杂浓度、载流子迁移率以及-对于该沟道区沿晶体管宽度方向的特定延伸-源漏区之间的距离,亦即沟道长度。因此,沟道区的电导率大大影响MOS晶体管的性能。因此,沟道长度的缩小-以及与其关联的沟道电阻率的降低-使得沟道长度成为实现集成电路之运行速度增加的主要设计准则。
不过,不断缩小晶体管尺寸必须解决与其关联的多个问题,以避免不当地抵消不断降低晶体管的尺寸所带来的优点。例如,需要在源漏区中沿垂直方向以及横向方向形成高度复杂的掺杂分布,以提供低的薄层及接触电阻率以及理想的沟道可控性,从而抵消所谓的短沟道效应,例如漏感应势垒降低(drain induced barrier lowering)等。另外,由于降低沟道长度还要求相对栅极绝缘层与沟道区形成的接口降低源漏区的深度,从而需要复杂的注入技术,因此,pn结相对栅极绝缘层的垂直位置亦代表有关漏电流控制的重要设计准则。
另外,由于不断缩小的关键尺寸,亦即晶体管的栅极长度,使得针对有关上述制程步骤的高度复杂的制程技术的调整及可能的话新的开发成为必要,因此业界提出对于特定的沟道长度,籍由增加沟道区中的载流子迁移率来提升晶体管组件的器件性能,以使性能提升的潜力与尺寸缩小之器件的未来技术节点的推进相当,同时避免与器件尺寸缩小相关的诸多制程调整。原则上,可单独或合并使用至少两种机制,以增加沟道区中载流子的迁移率。首先,在场效应晶体管中,可降低沟道区中的掺杂浓度,以降低载流子的散射事件,从而增加导电性。不过,降低沟道区中的掺杂浓度显着影响晶体管器件的阈值电压,因此,目前,降低掺杂浓度是一种缺乏吸引力的方法,除非开发出其它机制来调整理想的阈值电压。其次,在各半导体区域例如沟道区中,可例如籍由在其中形成拉伸或压缩应变而扩张/拉伸晶格结构,从而分别导致电子和空穴的迁移率发生改变。例如,在场效应晶体管的沟道区中沿电流方向形成单轴拉伸应变增加了电子的迁移率,其相应直接转化为电导率的相应增加。另一方面,沟道区中的压缩应变可增加空穴的迁移率,从而有可能提升p型晶体管的性能。由于应变硅可被视为“新”型半导体材料,其能够制造快速强大的半导体器件而无需昂贵的半导体材料及制造技术,因此对于下一代器件,在集成电路制造中引入应力或应变工程是一项极有前景的技术。
因此,业界提出在p沟道晶体管的源漏区中引入例如硅/锗合金以形成压缩应力,从而可导致相应的应变。
下面参照图1a及1b描述典型的传统方法,其用以降低短沟道效应、增强沟道区中的载流子迁移率以及降低源漏路径的总体串联电阻,从而提升p沟道晶体管的性能。
图1a示意p沟道晶体管100的剖视图。P沟道晶体管100包括基板101,例如硅块体基板,以及SOI(silicon on insulator;绝缘体上硅)基板,亦即,在基板101上形成绝缘埋层(未图示)。而且,半导体层102,例如硅层,形成于基板101上方,并可包括隔离结构103,例如浅沟槽隔离等。隔离结构103可定义有源區(active region)。在该有源區之中及上方可形成一个或多个晶体管组件,例如晶体管100。应当了解,可将有源區理解为接受或在其中形成适当掺杂分布的半导体区域,以依据器件要求例如为实现晶体管特征等而调节总体的导电性。在所示制造阶段中,可在半导体层102上方形成栅极电极结构104,其中,栅极电极结构104的栅极绝缘层104a使栅极电极材料例如多晶硅等与半导体层102中的沟道区105隔离。而且,如图所示,栅极电极结构104b可具有形成于其侧壁上呈间隙壁组件形式的非电极材料,例如二氧化硅等。另外,栅极电极结构104籍由例如氮化硅组成的间隙壁组件107及覆盖层108包覆。此外,可在横向邻近并偏离栅极电极结构104的半导体层102中形成凹槽或开口106,其中,横向偏移基本由间隙壁104b及107的宽度确定。
用于形成如图1a所示晶体管100的典型传统制造流程可包括下列制程。在形成隔离结构103之后,可籍由相应设计的注入制程在半导体层102内定义适当的垂直掺杂分布。接着,使用适当的技术形成栅极电极结构104的材料层,亦即栅极介电材料及电极材料,例如对于栅极介电材料使用热氧化或湿化学氧化和/或沉积,而低压化学气相沉积(low pressure chemical vapour deposition;LPVCD)则可用于沉积多晶硅作为栅极电极材料。而且,还可依据成熟的制程配方沉积另外的材料层,例如覆盖层108的材料,其可作为抗反射涂层(antireflective coating;ARC)的一部分。随后,可使用先进的光刻及蚀刻技术对该最终形成的层堆迭进行图案化,接着例如籍由热氧化、沉积等形成间隙壁104b。随后,可沉积间隙壁材料,如需要的话,还可结合衬里材料,接着使用成熟的非等向性蚀刻技术对其图案化,以获得间隙壁组件107。该间隙壁组件107的宽度基本确定开口106的横向偏移。
如前所述,沟道区105中沿电流方向的单轴压缩应变可显着提升空穴的迁移率,从而提升p沟道晶体管100的总体性能。为提供理想的压缩应变,可将间隙壁107及覆盖层108用作蚀刻掩模,使用成熟的蚀刻技术形成开口106,其中,在本例中,隔离结构103也可充当蚀刻掩模。在其它情况下,如需限制开口106的横向延伸,使其不会完全延伸至隔离结构103,则可提供额外的硬掩模。在相应的蚀刻制程期间,如考虑SOI组态,其中,绝缘埋层可位于基板101与半导体层102之间,则可保持层102中一定量的模板材料。开口106可由适当的半导体材料例如硅/锗合金重新填充,其自然晶格常数大于硅的晶格常数,以便在应变状态形成相应的外延生长材料,从而向沟道区105施加应力,进而在其中形成压缩应变。用于沉积硅/锗合金材料的选择性外延生长技术是已知的成熟技术,其可基于适当选择的制程参数例如温度、压力、前驱气体及载体气体的流速执行,以使材料的显着沉积基本限于结晶硅表面,同时抑制其在介电材料上的沉积。而且,在硅/锗材料的沉积期间,还可在沉积环境中纳入理想的掺杂种类,例如硼,以使源漏区获得理想的基本掺杂,取决于源漏区的垂直及横向分布所需的复杂度。一般而言,可提供高掺杂浓度以使源漏区具有降低的串联电阻,而另一方面,对于高度缩小的半导体器件,在高掺杂浓度下,沟道区105中生成的相应电场可导致向栅极绝缘层104a内注入的载流子增加,因此通常要求在栅极电极结构104附近的源漏区中具有较低的掺杂浓度及浅分布。
图1b示意处于下一制造阶段中的晶体管100,其中,可在开口106中形成硅/锗合金109,如上所述,并可移除间隙壁107及覆盖层108以暴露栅极电极结构104。应当了解,如需要的话,间隙壁104b亦可移除,并由适当设计的偏移间隙壁替代。如上所述,当降低晶体管尺寸时,亦即晶体管100的栅极长度,即图1b中栅极电极结构104的水平尺寸,因短沟道效应而使沟道区105的可控性变得愈加困难。在一些传统方法中,可提供反向掺杂区110,亦称为环状(halo)区,以部分抵消该短沟道效应,其中,沟道区105及其余半导体区域,亦称为体区102a,的掺杂浓度显着增加,以籍由提供浅掺杂的源漏区而调整待形成的相应pn结处的跨梯度。通常,反向掺杂区或环状区110可籍由例如倾斜角度的离子注入形成,以与栅极电极结构104建立一定程度的重迭。不过,当进一步缩小晶体管尺寸时,必须增加掺杂浓度及注入剂量,从而增加了因掺杂引起的载流子散射、掺杂扩散,以及因所涉及的高剂量注入制程而导致的沟道区105附近的应力松弛。而且,一般会增加源漏区中的掺杂浓度以降低该源漏区的串联电阻,以免限制器件性能,另外,还须增加用以形成环状区110的注入制程之剂量及能量,从而因晶格破坏增加及较高的掺杂扩散而相应进一步增加硅/锗合金中的应力松弛。在复杂的注入制程期间,需要较长的处理时间以在形成环状区域110期间获得理想的高剂量。因此,尽管硅/锗材料109可具有较高的内在掺杂浓度,但需要复杂而长的注入制程,以基于环状区110调整栅极电极结构104附近的pn结。
由于前述注入制程所引起的显着应变松弛,因此业界建议在后期制造阶段中纳入硅/锗合金,亦即,在为延伸区及环状区纳入掺杂种类之后,以避免因注入引起的损伤。而且,对应该方案,必须高掺杂该硅/锗合金,以为源漏深区提供相应的高掺杂浓度。这样,可基本保持内在的应变分量,从而由硅/锗合金提供增强的内部应变。不过,另一方面,由于较高的掺杂梯度可导致显着的掺杂扩散,若沟道区中纳入的掺杂原子增加,则相应导致沟道区中的电导率降低,因此高掺杂硅/锗合金需要相对沟道区具有横向距离。当期望特定程度的掺杂扩散以增加重掺杂源漏区的深度,从而例如连接绝缘埋层以降低SOI器件中的寄生结电容时,该问题更加需要关注。由于硅/锗材料的高内部应变水平以及与沟道区的横向距离基本确定沟道区中诱发的总体应变分量,因此,降低因注入引起的松弛而获得的优势被增加原位掺杂硅/锗材料偏移的需要抵消或过度抵消,从而使该方法变得不太理想,尤其是对于部分耗尽型SOI晶体管中源漏区必须向下延伸至绝缘埋层的复杂应用。另一方面,降低原位重掺杂硅/锗材料的偏移并不是具有吸引力的方法,原因在于显着的掺杂扩散可导致集成的总体源漏掺杂分布,其可最终导致漏电流增加,从而可最终导致晶体管的总体失败。
针对上述情况,本发明涉及方法及晶体管器件,其中,可基于应变诱导半导体合金实现应变效率的提升,同时避免或至少减轻上述一个或多个问题的影响。
发明内容
一般而言,本发明涉及方法及晶体管器件,其中,可基于选择性外延生长制程纳入应变诱导半导体合金,从而在源漏区中建立复杂的垂直及横向掺杂分布,其中,该应变诱导半导体合金可具有专门设计的原位掺杂浓度,从而导致理想的分布。为此,原位掺杂浓度可为梯度掺杂分布,其中,在外延生长材料内,源漏掺杂种类在不同的高度具有不同的浓度,因此,掺杂扩散的程度可由该掺杂种类的垂直分布结合针对一个或多个退火制程适当选择的制程参数确定。与传统技术相比,该退火制程可执行于较低的温度下。依据这里所揭露的其它实施方式,该原位掺杂的半导体合金可较佳地与一个或多个注入步骤结合。该注入步骤可在该外延生长制程之前执行,以避免因注入引起的损伤,同时提升总体掺杂分布设计的灵活性。在这里所揭露的一些实施例中,可透过相应的开口执行注入制程,以提升制程效率,尤其在复杂半导体器件中,栅极高度可能未提供充足的离子阻挡功能以使注入种类能够邻近SOI器件的绝缘埋层。因此,籍由透过开口纳入源漏掺杂种类的至少其中一部分,可使用显着较低的注入能量,以避免或至少显着降低透过栅极电极结构向沟道区内注入源漏掺杂种类的可能性。
与传统技术相比,本发明可减少用以形成源漏区之复杂间隙壁制造步骤及光刻步骤的数量,因此可实现极有效的制造序列以提供复杂的源漏区。
这里所揭露的一种方法包括在横向邻近栅极电极结构的晶体管有源區中形成开口。该栅极电极结构包括栅极介电材料、形成于该栅极介电材料上的电极材料,以及偏移侧间隙壁。该方法进一步包括在该开口中形成应变诱导半导体合金,其中,该应变诱导半导体合金包括一掺杂种类,其沿该开口的高度方向具有不同的掺杂浓度。另外,该方法包括执行热处理以基于该掺杂种类的该不同掺杂浓度形成源漏延伸区,其中,该源漏延伸区连接该晶体管的沟道区。
这里所揭露的另一种方法涉及形成晶体管的源漏区。该方法包括透过开口向该晶体管的有源區内注入源漏掺杂种类之第一部分。另外,该方法包括在该开口中形成应变诱导半导体合金,其中,该应变诱导半导体合金包括该源漏掺杂种类之第二部分,其沿该开口的高度方向具有梯度浓度。最后,该方法包括执行至少一热处理,以基于该源漏区掺杂种类之该第一及第二部分形成该源漏区的最终掺杂分布。
这里所揭露的一种晶体管器件包括形成于沟道区上方的栅极电极结构,以及形成于横向邻近该沟道区之半导体有源區中的源漏区。而且,该晶体管器件包括形成于该源漏区内的应变诱导半导体合金,其中,该应变诱导半导体合金沿该源漏区的高度方向具有梯度掺杂浓度。
附图说明
本发明的各实施例在所附的权利要求书中定义,并藉由下面参照附图所作的详细说明变得更加清楚。
图1a及1b示意依据传统技术基于原位掺杂的外延生长半导体合金及随后的注入环状区形成源漏区的不同制造阶段期间晶体管的剖视图。
图2a至2h示意不同制造阶段期间晶体管的剖视图,其中,依据本发明实施例,可基于应变诱导半导体合金的梯度原位掺杂获得复杂的源漏掺杂分布。
具体实施方式
尽管本发明藉由参照下面的详细说明以及附图所描述之实施例来说明,但应当理解,该详细说明及附图并非意图将发明限于这里所揭露的特定实施例。相反,所述实施例仅示例本发明的各种实施方式,本发明的范围由所附权利要求书定义。
一般而言,本发明解决基于选择性外延生长技术结合注入制程实现复杂源漏掺杂分布的制造技术中复杂性增加的问题。籍由在邻近晶体管沟道区的应变诱导半导体合金中提供梯度原位掺杂浓度,可依据这里所揭露的原则实现总体制程复杂性的显着降低。而且,结合可执行于较低温度下的适当设计的退火制程,该应变诱导半导体合金中的梯度掺杂浓度可使源漏区具有理想的横向及垂直分布,其中,在这里所揭露的一些实施例中,还可在实际生长该应变诱导半导体合金之前,执行注入制程或任意其它制程以纳入源漏掺杂种类,从而以此为基础分别调整源漏区的深度。为此目的,在一实施例中,可透过相应的开口在源漏深区中纳入源漏掺杂种类,以使该掺杂种类位于该有源區中任意理想的深度,例如与绝缘埋层的接口处,而无需过高的注入能量。这样,即使因边缘电容降低或者栅极电极结构造成不太重要的表面形貌而需要降低栅极高度时,仍可在该相应的注入制程期间保持沟道区及敏感栅极介电材料的完整性。在其它一些实施例中,可纳入其它种类,例如纳入反向掺杂种类以形成环状区,或纳入任意其它类型的材料种类以调节晶体管的总体电子特征,例如籍由定位种类而定义源漏区的浅区域处的能量阱。可在沉积该应变诱导半导体合金之前,透过开口执行注入技术以实现该纳入。因此,可基于栅极电极结构的单独组态定义整体掺杂分布,而无需复杂的间隙壁组态,从而有助于降低总体制造流程的复杂性。而且,在一些实施例中,可基于同一光刻掩模纳入源漏深区以及环状区及任意其它额外的注入种类,与传统技术相比,掩模步骤的数量降低,从而有助于实现简化且具有成本效益的制造流程。而且,由于无需复杂的间隙壁技术定义源漏区的掺杂分布,因此可对用于形成金属硅化物区域的任意额外的间隙壁组件进行专门调整,以提升硅化制程的性能,而无需像许多传统技术那样,须就提供注入掩模以定义源漏深区进行折中。应当了解,对于晶体管组件之关键尺寸为50纳米及以下的复杂半导体器件的环境,由于其通常需要性能提升机制,例如纳入应变诱导半导体合金,同时鉴于沟道可控性等需要复杂的源漏分布,如前所述,因此这里所揭露的原则可极佳地应用于该环境中。在这里所揭露的一些实施例中,复杂制造流程及最终源漏分布的应用可结合先进的栅极组态,其包括高k介电材料以及含金属电极材料,可将该高k介电材料理解为介电常数为10.0或更高的介电材料。另外,在此情况下,例如籍由使用降低温度的退火技术可获得显着的优点,该退火制程可降低在敏感高k介电材料中形成缺陷的可能性,其中,用于定义有源區中将要蚀刻之开口的偏移的偏移间隙壁组件可提升敏感高k材料的完整性,而无需额外的间隙壁组件。
下面参照图2a至2h详细描述实施例,其中,如有必要,还可参照图1a及1b。
图2a示意半导体器件200的剖视图。该半导体器件250可为晶体管形式,例如p沟道晶体管,其可籍由选择性外延生长技术在源漏区中接收半导体合金,以提供梯度原位掺杂分布。器件200可包括基板201。在基板201上方可形成半导体层202,例如硅基层,可将其理解为其中包括大量硅的材料层,并可结合其它材料,例如锗、碳、氟等。而且,在所示实施例中,可在基板201与半导体层202之间设置绝缘埋层210a,以形成SOI(绝缘体上硅)架构。如前所述,该SOI架构有利于降低结电容。在其它实施例中(图2a未图示),可省略绝缘埋层210a,例如参照图1a及1b的晶体管所述。而且,器件200可包括栅极电极结构204。栅极电极结构204可包括栅极电极材料204c,其可由任意适当的导电材料构成,例如多晶硅、含金属材料等。例如,复杂半导体器件中所需的增强导电性可籍由提供含金属材料实现,可在早期制造阶段中结合其它材料例如多晶硅提供该含金属材料。例如,可在材料204c中结合额外种类提供相应的含金属材料,例如氮化钛,使其形成于栅极绝缘层204a上(未图示)。栅极绝缘层204a可由任意适当的介电材料构成,例如二氧化硅、氮氧化硅、氮化硅等。其中,有必要使栅极电极结构204的厚度适应其长度,如前所述。在一些情况下,在栅极绝缘层204a中,可在层204a中包括高k介电材料,因此与传统的介电材料向比,可以较低的漏电流增强沟道区205的可控性。例如,栅极绝缘层204可包括例如氧化铪、铪锆氧化物等材料,同时还可在层204a上形成含金属层,从而基本避免形成经常可在基于多晶硅材料形成的栅极电极中观察到的耗尽区。应当了解,在所示实施例中,栅极长度,亦即电极材料204c的长度204l约为50纳米及以下,原因在于此类应用通常需要复杂的源漏分布结合额外的性能提升机制,如前所述。而且,在该所示实施例中,栅极电极结构204可包括偏移间隙壁组件204b,其可由任意适当的材料组成,例如氮化硅等,其中,间隙壁204b的宽度可基本决定待要在半导体层202中亦即在器件200的相应有源區202a中横向邻近栅极电极结构204形成的开口的横向偏移。因此,间隙壁组件204b结合覆盖材料204d可保持电极材料204c及栅极绝缘层204a的完整性。例如,在复数湿化学蚀刻制程期间,例如用以移除污染物等所需的包括氢氟酸的制程期间,高k介电材料可呈显着的敏感性。因此,间隙壁组件204b可包覆栅极绝缘层204a,并且还可在有源區202a中形成相应开口的后续制程期间提供高抗蚀性。而且,可由氮化硅等材料组成的覆盖层204d可在后续制程期间充当蚀刻掩模及生长掩模。
关于器件200的任意制造技术及特征,还可参照器件100的相应描述,其中,应当了解,可适当选择覆盖材料204d及偏移间隙壁204b的材料组成及厚度,以符合后续的制程要求。例如,如前面参照覆盖层208(参照图1a)所述,可将覆盖材料204d和栅极电极材料204c的至少其中一部分一起图案化,其中,该图案化序列取决于电极材料204d的组成。例如,当考虑复杂的栅极电极结构时,可结合多晶硅材料沉积适当的金属种类。类似地,可基于任意适当的制造技术提供栅极绝缘层204a。接着,可例如籍由热活化式CVD(化学气相沉积)技术沉积间隙壁材料,以获得高密度材料及良好控制的厚度。接着,可基于成熟的非等向性蚀刻技术图案化该间隙壁层,以获得间隙壁204b,其具有适于后续制程的所需抗蚀性及宽度。例如,依据待要形成于区域202a中的开口的理想偏移,间隙壁204b可具有约1纳米至几纳米的宽度。
图2b示意暴露于蚀刻环境210中的半导体器件200。可利用蚀刻化学基于等离子辅助环境形成该蚀刻环境210,例如基于氟、氯等,以相对栅极电极结构204以及隔离结构203选择性移除区域202a的材料,不过,其中,相应的抗蚀性与覆盖层204d及间隙壁204b相比不太显着。在蚀刻制程210期间,可形成相对电极材料204c具有横向偏移的开口206。该横向偏移基本由间隙壁204b及制程210的蚀刻参数确定。
图2c示意依据一实施例处于离子注入序列212期间的器件200,以基于开口206向区域202a内引入一个或多个掺杂种类。在一实施例中,注入制程212可包括用以引入源漏掺杂种类214d之其中一部分的注入步骤。该源漏掺杂种类214d对应源漏深区。如需要,可基于进一步的一个或多个热处理建立最终的理想分布,其中,该热处理会引起特定程度的掺杂扩散。如图所示,开口206的存在可显着降低用以纳入源漏种类214d的实际穿透深度,从而可施加较低的注入能量,同时沉积种类214d以延伸至目标深度,例如至少延伸至绝缘埋层201a。由于该较低的注入能量,因此栅极电极结构204的离子阻挡能力可可靠地保持沟道区205的完整性,从而总体上可使用降低的栅极高度。该降低的栅极高度有利于栅极电极204之边缘电容降低以及不太显着的表面形貌的情况。而且,注入序列212可包括一个或多个额外的注入步骤以纳入掺杂种类,例如纳入反向掺杂种类以定义反向掺杂或环状区210。该环状区210可基于适当的倾斜角度实现,其中,依据总体的器件要求,可应用环状区210的对称或非对称组态。如图所示,在该情况下,亦需较低的穿透深度,因而使用相应降低的注入能量。在一些实施例中,当认为针对环状区210纳入反向掺杂种类期间的相应沟道效应不适当时,注入序列212还可包括非晶化注入,其可以倾斜注入制程执行。在此情况下,可非晶化特定的部分,从而提升定义环状区210之掺杂穿透的均匀性。应当了解,使用适当的倾斜角度可避免处于开口206之底部的材料的显着非晶化。而且,注入序列212可包括一个或多个额外的注入步骤,其中,依据器件200的总体电子特征,可引入任意其它适当的种类例如氟、碳等,以定义浅注入区213。因此,可执行源漏深区种类214d、环状区210,任意进一步的浅注入种类及相应的非晶化制程,而无需改变注入掩模或无需额外的间隙壁组件,从而有助于实现总体制造增强进而具有成本效益的制程技术。
图2d示意依据一些实施例的半导体器件200,其中,当认为注入诱发损伤214s在后续的选择性外延生长制程期间不适当时,可施加热处理215。在其它情况下,可对前述的非晶化重结晶,以将掺杂种类激活至一定程度。应当了解,可利用成熟的技术,例如激光退火、闪光退火等,基于任意适当的制程参数执行热处理215。例如,如需要,可选择适当的制程参数,其中,可发生重结晶而不会引起显着的掺杂扩散。在其它情况下,当认为相应的注入诱发损伤适当时,可省略该制造阶段中的热处理215。在注入序列212或热处理215之后,使器件215准备执行后续的选择性外延生长制程。为此目的,可执行任意适当的湿化学清洗制程,其中,若栅极绝缘层204a由敏感的高k介电材料组成,间隙壁204b可保持栅极绝缘层204a的完整性。
图2e示意处于选择性外延生长制程216a期间的半导体器件200,在此期间,可在开口206内生长应变诱导半导体材料209a。在制程216a期间,可向沉积环境中纳入适当的掺杂种类,例如n型种类或p型种类,以形成材料209a作为原位掺杂材料。为此目的,可使用成熟的沉积配方,如前所述。例如,当器件200需要压缩应变分量时,材料209a可代表硅/锗合金。在其它情况下,可沉积硅/锗/锡合金,而在其它情况下,可形成硅/锡混合物,以获得理想的压缩应变分量。在其它实施例中,当晶体管200需要拉伸应变分量时,材料209a可由硅/碳合金构成。因此,籍由选择可显着影响总体应变分量的适当材料组成以及选择适当的掺杂浓度,可获得高度灵活性。例如,层209a的浓度可选择为较高,以结合前述源漏深区种类214d建立理想的总体掺杂浓度。在后续阶段或在独立步骤216b中,可沉积另一材料层209b,其中,至少掺杂浓度不同于层209a中的浓度。例如,可提供降低的掺杂浓度209,以使层209b充当“缓冲”层。在其它情况下,依据理想的最终源漏分布,材料209a的掺杂浓度可低于层209b的掺杂浓度。这样,因沉积阶段或步骤216a、216b的不同制程参数而使掺杂浓度沿高度方向206h变化。应当了解,沿方向206h在1纳米内或更小范围内掺杂浓度的相应变化取决于相应的制程参数。在此情况下,掺杂浓度可获得基本呈阶梯状的变化,而在其它情况下,可发生不太显着的转变,不过,其中,仍然可以可靠地检测到沿方向206h在层209a、209b内的浓度的相应变化。应当了解,掺杂浓度的相应变化亦可称作梯度掺杂浓度。
图2f示意处于另一选择性注入制程或阶段期间的半导体器件200,其中,可提供具有适当的原位浓度的最终或延伸层209c,以结合将在后期制造阶段中执行的一个或多个热处理提供源漏延伸区。应当了解,除该原位掺杂外,如认为适当的话,可相对层209b、209a改变材料组成。因此,基于两个或更多沉积制程216a、216b、216c,可形成由应变诱导半导体材料构成的任意适当数量的外延生长层,其中,在该些层的至少其中一些层中,可提供不同的原位掺杂,以结合任意后续热处理符合形成复杂源漏掺杂分布的要求。因此,应当了解,可提供两层或超过三层的应变诱导半导体材料,而在其它情况下,可施加基本呈连续变化的原位掺杂浓度。因此,与传统方法相反,这里的原位掺杂浓度沿高度方向206a明显不恒定,以为后续的热处理提供适当的起始条件。在该后续热处理期间可确定最终的掺杂分布。
图2g示意处于热处理217期间的半导体器件200。该热处理217例如为激光退火制程、闪光退火制程等形式,其中,可施加适当的制程参数以获得理想程度的掺杂扩散,从而基于源漏掺杂种类214d以及层209a、209b、209c中纳入的各种源掺杂种类建立源漏区214。应当了解,该扩散行为还取决于前述形成相应环状或反向掺杂区的注入种类210,从而在制程217期间使源漏区214获得相应的最终掺杂分布。例如,可基于层209c获得各延伸区214e。层209c可包括适当的掺杂浓度,以避免沟道区205的过度渗透。而且,可籍由相应调整层209b中的原位掺杂水平获得适当的横向形状。层209b可充当缓冲层,而层209a结合种类214d可提供源漏深区。应当了解,依据总体的制程及器件要求,可在提供应变诱导半导体合金209a、209b、209c之后的任意适当的制造阶段中执行一个或多个额外的热处理。而且,由于可能不需要对注入引起的损伤进行显着的重结晶,因此通常可在降低的制程温度下执行热处理217。这样,可提供呈基本未损伤状态的材料209a、209b、209c,以获得进入沟道区205的高应变传递效率,其中,由间隙壁组件204b定义的降低的理想偏移有助于高应变诱导效率。因此,源漏区214的形成无需传统技术中通常需要的复杂间隙壁结构以及复数掩模步骤。
应当了解,在退火制程217之前或之后,可例如基于成熟的湿化学蚀刻配方等移除偏移间隙壁204b以及掩模层204d。接着,如需要的话,可继续后续制程,例如形成间隙壁组件以及执行硅化制程等。这样,在前述制程序列其间,间隙壁204b可可靠地保持栅极绝缘层204a及栅极电极材料204c的完整性,并可在制造阶段中移除,其中,可避免暴露于关键的湿化学蚀刻配方,例如稀释的氢氟酸。
图2h示意具有间隙壁结构218的器件200。该间隙壁结构218具有适当的宽度,以调整金属硅化物区219相对沟道区205的偏移。间隙壁结构218可由任意适当的材料组成,例如氮化硅,并可结合充当蚀刻停止材料的二氧化硅等。而且,当电极材料204c由多晶硅材料组成时,可在电极材料204c中形成金属硅化物区204s。在其它情况下,可在后期制造阶段中移除材料204s,例如籍由使用高导电金属替代电极材料204c的至少其中一部分。应当了解,间隙壁结构218的设置基于所选的目标宽度,以获得偏移219,从而避免例如硅化制程期间掺杂向沟道区205的过度“转移”,以提升晶体管性能。因此,可使间隙壁结构218的特征适应硅化制程的制程参数以及所使用的相应材料,例如镍、铂、钴等,从而有助于提升总体的晶体管性能。如需要,为增强沉积于完成的晶体管结构上方的任意介电材料的应力传递,在形成金属硅化物区219之后可移除间隙壁结构218,以使更多的高应力介电材料能够邻近沟道区205沉积。
因此,晶体管200可包括处于优良结晶状态的应变诱导材料209a、209b、209c,以诱发高应变分量205c或205t,例如压缩分量或拉伸分量,其取决于应变诱导合金的材料组成。而且,材料209a、…209c中的梯度原位掺杂浓度可使源漏区214具有理想的横向及垂直分布,从而使材料209c、209b、209a能够邻近沟道区205设计,其还有助于优越的应变传递效率。
因此,本发明提供半导体器件及形成该半导体器件的技术,其中,可在外延生长应变诱导半导体材料中建立梯度掺杂分布,从而可使该外延生长应变诱导半导体材料邻近沟道区设置,而不会影响最终的掺杂分布。而且,在该应变诱导半导体合金的外延生长之前可纳入额外的掺杂种类,其中,相应开口的存在可提升注入条件。源漏掺杂分布的获得无需复杂间隙壁结构,从而有助于显着降低形成复杂晶体管组件中的复杂性。
在阅读说明书后,本领域的技术人员可容易地对本发明作进一步的修改和变更。因此,说明书仅为说明性质,目的在于教导本领域的技术人员执行这里所揭露之原理的一般方式。应当理解,所示方式应当被视作当前的优选实施例。

Claims (24)

1.一种方法,包括:
在横向邻近栅极电极结构的晶体管有源區中形成开口,该栅极电极结构包括栅极介电材料、形成于该栅极介电材料上的电极材料,以及偏移侧间隙壁;
在该开口中形成应变诱导半导体合金,该应变诱导半导体合金包括一掺杂种类,其沿该开口之高度方向具有不同的掺杂浓度;以及
执行热处理以基于该掺杂种类的该不同掺杂浓度形成源漏延伸区,该源漏延伸区连接该晶体管的沟道区。
2.如权利要求1所述的方法,进一步包括透过该开口向该有源區内引入至少另一掺杂种类。
3.如权利要求2所述的方法,其中,引入该至少另一掺杂种类包括引入与前述掺杂种类具有相同导电类型的掺杂种类,以结合前述掺杂种类形成源漏区。
4.如权利要求3所述的方法,其中,引入该至少另一掺杂种类包括引入相对于前述掺杂种类的反向掺杂种类,以相对该源漏区形成反向掺杂区。
5.如权利要求2所述的方法,其中,引入该至少另一掺杂种类包括执行注入制程。
6.如权利要求5所述的方法,进一步包括执行第二热处理,以在形成该应变诱导半导体合金之前使结晶损伤重结晶。
7.如权利要求1所述的方法,其中,形成该应变诱导半导体合金包括形成缓冲层以及在该缓冲层上方形成延伸层,其中,该缓冲层的掺杂浓度低于该延伸层的掺杂浓度。
8.如权利要求1所述的方法,其中,形成该应变诱导半导体合金包括形成缓冲层以及在该缓冲层上方形成延伸层,其中,该缓冲层的掺杂浓度高于该延伸层的掺杂浓度。
9.如权利要求1所述的方法,其中,形成该应变诱导半导体层包括籍由执行选择性外延生长制程形成含锗材料。
10.如权利要求1所述的方法,其中,形成该应变诱导半导体层包括籍由执行选择性外延生长制程形成含锡材料。
11.如权利要求1所述的方法,其中,形成该应变诱导半导体层包括籍由执行选择性外延生长制程形成含碳材料。
12.一种形成晶体管之源漏区的方法,该方法包括:
透过开口向该晶体管的有源區内注入源漏掺杂种类之第一部分;
在该开口中形成应变诱导半导体合金,该应变诱导半导体合金包括该源漏掺杂种类之第二部分,其沿该开口的高度方向具有梯度浓度;以及
执行至少一热处理以基于该源漏掺杂种类之该第一及第二部分形成该源漏区的最终掺杂分布。
13.如权利要求12所述的方法,其中,形成该应变诱导半导体合金包括在该开口中形成缓冲层以及在该缓冲层上方形成延伸层,其中,该缓冲层的掺杂浓度低于该延伸层的掺杂浓度。
14.如权利要求13所述的方法,其中,形成该应变诱导半导体合金包括形成缓冲层以及在该缓冲层上方形成延伸层,其中,该缓冲层的掺杂浓度高于该延伸层的掺杂浓度。
15.如权利要求12所述的方法,其中,该应变诱导半导体合金包括锗和锡的至少其中一者。
16.如权利要求12所述的方法,其中,该应变诱导半导体层包括碳。
17.如权利要求12所述的方法,进一步包括在形成该应变诱导半导体合金之前注入另一掺杂种类,其相对于该源漏掺杂种类为反向掺杂。
18.如权利要求12所述的方法,其中,注入该源漏掺杂种类之该第一部分,以使其延伸至绝缘埋层。
19.一种晶体管器件,包括:
栅极电极结构,形成于沟道区上方;
源漏区,形成于横向邻近该沟道区的半导体有源區中;以及
应变诱导半导体合金,形成于该源漏区内,该应变诱导半导体合金沿该源漏区的高度方向具有梯度掺杂浓度。
20.如权利要求19所述的晶体管器件,其中,该栅极电极结构之栅极电极材料的长度约为50纳米或更小。
21.如权利要求19所述的晶体管器件,其中,该半导体合金包括锗和锡的至少其中一者。
22.如权利要求19所述的晶体管器件,其中,该应变诱导半导体合金包括碳/硅合金。
23.如权利要求19所述的晶体管器件,进一步包括绝缘埋层,其邻近该半导体有源區并位于该半导体有源區下方,其中,该源漏区延伸至该绝缘埋层。
24.如权利要求20所述的晶体管器件,其中,该栅极电极结构包括栅极绝缘层,该栅极绝缘层包括高k介电材料;以及其中,该栅极电极材料包括含金属材料,该含金属材料形成于该栅极绝缘层上。
CN201080014189XA 2009-01-30 2010-01-27 原位形成的包括应变诱导合金及梯度掺杂分布的源漏区 Pending CN102388442A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102009006884A DE102009006884B4 (de) 2009-01-30 2009-01-30 Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
DE102009006884.8 2009-01-30
US12/688,999 US8278174B2 (en) 2009-01-30 2010-01-18 In situ formed drain and source regions including a strain-inducing alloy and a graded dopant profile
US12/688,999 2010-01-18
PCT/EP2010/000492 WO2010086154A1 (en) 2009-01-30 2010-01-27 In situ formed drain and source regions including a strain inducing alloy and a graded dopant profile

Publications (1)

Publication Number Publication Date
CN102388442A true CN102388442A (zh) 2012-03-21

Family

ID=42317335

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080014189XA Pending CN102388442A (zh) 2009-01-30 2010-01-27 原位形成的包括应变诱导合金及梯度掺杂分布的源漏区

Country Status (5)

Country Link
US (1) US8278174B2 (zh)
JP (1) JP5571693B2 (zh)
KR (1) KR101605150B1 (zh)
CN (1) CN102388442A (zh)
DE (1) DE102009006884B4 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103531472A (zh) * 2012-07-03 2014-01-22 中芯国际集成电路制造(上海)有限公司 一种mosfet器件及其制备方法
CN103824885A (zh) * 2014-02-20 2014-05-28 重庆大学 带有源应变源的GeSnn沟道隧穿场效应晶体管
CN104752178A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
CN105280699A (zh) * 2014-07-23 2016-01-27 台湾积体电路制造股份有限公司 源极/漏极结构及其形成方法
CN105575804A (zh) * 2014-10-08 2016-05-11 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
CN105762068A (zh) * 2014-12-19 2016-07-13 联华电子股份有限公司 半导体元件及其制作方法
CN107045987A (zh) * 2016-02-09 2017-08-15 格罗方德半导体公司 具有在源极/漏极区域中的扩散阻挡层的设备
CN109148586A (zh) * 2018-08-16 2019-01-04 中国电子科技集团公司第十三研究所 氧化镓场效应晶体管
CN109309009A (zh) * 2018-11-21 2019-02-05 长江存储科技有限责任公司 一种半导体器件及其制造方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5287621B2 (ja) * 2009-09-10 2013-09-11 富士通セミコンダクター株式会社 半導体装置
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8361847B2 (en) * 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8415221B2 (en) 2011-01-27 2013-04-09 GlobalFoundries, Inc. Semiconductor devices having encapsulated stressor regions and related fabrication methods
DE102011003439B4 (de) * 2011-02-01 2014-03-06 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
US8664725B1 (en) * 2011-03-04 2014-03-04 Altera Corporation Strain enhanced transistors with adjustable layouts
US8466018B2 (en) * 2011-07-26 2013-06-18 Globalfoundries Inc. Methods of forming a PMOS device with in situ doped epitaxial source/drain regions
US20130069123A1 (en) * 2011-09-16 2013-03-21 Globalfoundries Inc. Cmos semiconductor devices having stressor regions and related fabrication methods
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
CN103779222A (zh) * 2012-10-23 2014-05-07 中国科学院微电子研究所 Mosfet的制造方法
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
CN103928336B (zh) * 2013-01-16 2017-05-03 中芯国际集成电路制造(上海)有限公司 一种pmos晶体管及其制备方法
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9190418B2 (en) * 2014-03-18 2015-11-17 Globalfoundries U.S. 2 Llc Junction butting in SOI transistor with embedded source/drain
US9590037B2 (en) 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
CN105304481A (zh) * 2014-06-10 2016-02-03 联华电子股份有限公司 半导体元件及其制作方法
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
FR3023972B1 (fr) * 2014-07-18 2016-08-19 Commissariat Energie Atomique Procede de fabrication d'un transistor dans lequel le niveau de contrainte applique au canal est augmente
FR3034909B1 (fr) 2015-04-09 2018-02-23 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de dopage des regions de source et de drain d'un transistor a l'aide d'une amorphisation selective
US9741853B2 (en) * 2015-10-29 2017-08-22 Globalfoundries Inc. Stress memorization techniques for transistor devices
TWI680502B (zh) 2016-02-03 2019-12-21 聯華電子股份有限公司 半導體元件及其製作方法
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
US10128364B2 (en) * 2016-03-28 2018-11-13 Nxp Usa, Inc. Semiconductor devices with an enhanced resistivity region and methods of fabrication therefor
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10263077B1 (en) * 2017-12-22 2019-04-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of fabricating a FET transistor having a strained channel
US10727320B2 (en) * 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US11335796B2 (en) * 2017-12-30 2022-05-17 Intel Corporation Source to channel junction for III-V metal-oxide-semiconductor field effect transistors (MOSFETs)
US10672795B2 (en) 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060148220A1 (en) * 2005-01-04 2006-07-06 Nick Lindert Plasma implantation of impurities in junction region recesses
CN1926664A (zh) * 2004-05-14 2007-03-07 应用材料股份有限公司 使用选择沉积工艺制造mosfet器件的方法
US20070235802A1 (en) * 2006-04-05 2007-10-11 Chartered Semiconductor Manufacturing Ltd Method to control source/drain stressor profiles for stress engineering
CN101211964A (zh) * 2006-12-29 2008-07-02 台湾积体电路制造股份有限公司 半导体结构及金属氧化物半导体元件

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JPH10261795A (ja) * 1997-03-21 1998-09-29 Sharp Corp 絶縁ゲート型電界効果トランジスタ及びその製造方法
JPH11297976A (ja) 1998-04-07 1999-10-29 Sony Corp エピタキシャル半導体基板およびその製造方法ならびに半導体装置の製造方法ならびに固体撮像装置の製造方法
KR100307636B1 (ko) * 1999-10-07 2001-11-02 윤종용 올라간 구조의 소오스/드레인을 갖는 전계효과 트랜지스터 및 그 제조방법
US20060065937A1 (en) * 2004-09-30 2006-03-30 Thomas Hoffmann Short channel effect of MOS devices by retrograde well engineering using tilted dopant implantation into recessed source/drain regions
DE102005004411B4 (de) * 2005-01-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
JP5091403B2 (ja) * 2005-12-15 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
DE102006009226B9 (de) * 2006-02-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Transistors mit einer erhöhten Schwellwertstabilität ohne Durchlass-Strombeeinträchtigung und Transistor
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
US8076189B2 (en) * 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
US7560350B2 (en) * 2006-04-17 2009-07-14 United Microelectronics Corp. Method for forming strained semiconductor device and method for forming source/drain region
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7897493B2 (en) * 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
JP2008159803A (ja) * 2006-12-22 2008-07-10 Toshiba Corp 半導体装置
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
US7687337B2 (en) 2007-07-18 2010-03-30 Freescale Semiconductor, Inc. Transistor with differently doped strained current electrode region

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1926664A (zh) * 2004-05-14 2007-03-07 应用材料股份有限公司 使用选择沉积工艺制造mosfet器件的方法
US20060148220A1 (en) * 2005-01-04 2006-07-06 Nick Lindert Plasma implantation of impurities in junction region recesses
US20070235802A1 (en) * 2006-04-05 2007-10-11 Chartered Semiconductor Manufacturing Ltd Method to control source/drain stressor profiles for stress engineering
CN101211964A (zh) * 2006-12-29 2008-07-02 台湾积体电路制造股份有限公司 半导体结构及金属氧化物半导体元件

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103531472A (zh) * 2012-07-03 2014-01-22 中芯国际集成电路制造(上海)有限公司 一种mosfet器件及其制备方法
CN103531472B (zh) * 2012-07-03 2016-05-11 中芯国际集成电路制造(上海)有限公司 一种mosfet器件及其制备方法
CN104752178A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
CN103824885A (zh) * 2014-02-20 2014-05-28 重庆大学 带有源应变源的GeSnn沟道隧穿场效应晶体管
CN105280699B (zh) * 2014-07-23 2018-06-05 台湾积体电路制造股份有限公司 源极/漏极结构及其形成方法
CN105280699A (zh) * 2014-07-23 2016-01-27 台湾积体电路制造股份有限公司 源极/漏极结构及其形成方法
CN105575804B (zh) * 2014-10-08 2018-07-13 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
CN105575804A (zh) * 2014-10-08 2016-05-11 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
CN105762068A (zh) * 2014-12-19 2016-07-13 联华电子股份有限公司 半导体元件及其制作方法
CN107045987A (zh) * 2016-02-09 2017-08-15 格罗方德半导体公司 具有在源极/漏极区域中的扩散阻挡层的设备
CN107045987B (zh) * 2016-02-09 2020-08-21 格罗方德半导体公司 具有在源极/漏极区域中的扩散阻挡层的设备
CN109148586A (zh) * 2018-08-16 2019-01-04 中国电子科技集团公司第十三研究所 氧化镓场效应晶体管
CN109148586B (zh) * 2018-08-16 2021-05-18 中国电子科技集团公司第十三研究所 氧化镓场效应晶体管
CN109309009A (zh) * 2018-11-21 2019-02-05 长江存储科技有限责任公司 一种半导体器件及其制造方法
CN109309009B (zh) * 2018-11-21 2020-12-11 长江存储科技有限责任公司 一种半导体器件及其制造方法

Also Published As

Publication number Publication date
US8278174B2 (en) 2012-10-02
JP2012516557A (ja) 2012-07-19
JP5571693B2 (ja) 2014-08-13
KR101605150B1 (ko) 2016-03-21
DE102009006884A1 (de) 2010-08-12
US20100193882A1 (en) 2010-08-05
KR20110113761A (ko) 2011-10-18
DE102009006884B4 (de) 2011-06-30

Similar Documents

Publication Publication Date Title
CN102388442A (zh) 原位形成的包括应变诱导合金及梯度掺杂分布的源漏区
CN103038721B (zh) 具有穿通抑制的先进晶体管
CN103053025B (zh) 具有阈值电压设定掺杂剂结构的先进晶体管
US8105908B2 (en) Methods for forming a transistor and modulating channel stress
US8093634B2 (en) In situ formed drain and source regions in a silicon/germanium containing transistor device
CN102386234B (zh) 半导体元件与其形成方法
CN101743621B (zh) 具有不同掺杂的有应变的电流电极区域的晶体管
CN101622713B (zh) 源/漏应力器及其方法
TW201334184A (zh) 半導體元件與其形成方法及p型金氧半電晶體
CN103238216A (zh) 对改进型晶体管的源/漏延伸控制
CN203800057U (zh) 半导体器件
US20060172511A1 (en) In situ formed halo region in a transistor device
US20140353718A1 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon-germanium region
CN103426768B (zh) 半导体器件制造方法
CN110047754A (zh) 半导体器件及其制造方法
US10629734B2 (en) Fabricating method of fin structure with tensile stress and complementary FinFET structure
US6891232B2 (en) Semiconductor device having an injection substance to knock against oxygen and manufacturing method of the same
CN105529268A (zh) 晶体管及其形成方法
CN103943504A (zh) 一种半导体器件及其制备方法
TWI639195B (zh) 用於電晶體裝置之應力記憶技術
US9412869B2 (en) MOSFET with source side only stress
CN101286527A (zh) 具有双离子注入的pmos结构及其方法
WO2010086154A1 (en) In situ formed drain and source regions including a strain inducing alloy and a graded dopant profile
CN102097376A (zh) 半导体器件的制作方法
US8329568B1 (en) Semiconductor device and method for making the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120321