CN101965636A - 使用光滑无聚集的铜种层进行凹入特征的无空隙填充 - Google Patents
使用光滑无聚集的铜种层进行凹入特征的无空隙填充 Download PDFInfo
- Publication number
- CN101965636A CN101965636A CN2009801080320A CN200980108032A CN101965636A CN 101965636 A CN101965636 A CN 101965636A CN 2009801080320 A CN2009801080320 A CN 2009801080320A CN 200980108032 A CN200980108032 A CN 200980108032A CN 101965636 A CN101965636 A CN 101965636A
- Authority
- CN
- China
- Prior art keywords
- metal
- film
- copper
- gas
- wetting film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 title claims abstract description 234
- 239000010949 copper Substances 0.000 title claims abstract description 232
- 229910052802 copper Inorganic materials 0.000 title claims abstract description 231
- 229910052751 metal Inorganic materials 0.000 claims abstract description 279
- 239000002184 metal Substances 0.000 claims abstract description 279
- 238000000034 method Methods 0.000 claims abstract description 125
- 238000009736 wetting Methods 0.000 claims abstract description 97
- 239000000758 substrate Substances 0.000 claims abstract description 93
- 238000000151 deposition Methods 0.000 claims abstract description 44
- 230000008569 process Effects 0.000 claims description 50
- 239000007789 gas Substances 0.000 claims description 43
- 230000008021 deposition Effects 0.000 claims description 40
- 239000000203 mixture Substances 0.000 claims description 28
- 239000011261 inert gas Substances 0.000 claims description 27
- 229910052707 ruthenium Inorganic materials 0.000 claims description 20
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 19
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 19
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 17
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 14
- 238000005229 chemical vapour deposition Methods 0.000 claims description 13
- 239000010948 rhodium Substances 0.000 claims description 13
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 12
- 238000001947 vapour-phase growth Methods 0.000 claims description 12
- 239000003989 dielectric material Substances 0.000 claims description 11
- 239000002243 precursor Substances 0.000 claims description 11
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 9
- 239000001301 oxygen Substances 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- -1 tungsten nitride Chemical class 0.000 claims description 9
- 229910052799 carbon Inorganic materials 0.000 claims description 8
- 229910052763 palladium Inorganic materials 0.000 claims description 8
- 229910052703 rhodium Inorganic materials 0.000 claims description 8
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 7
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 7
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 7
- 229910052796 boron Inorganic materials 0.000 claims description 7
- 150000001875 compounds Chemical class 0.000 claims description 7
- 229910052757 nitrogen Inorganic materials 0.000 claims description 7
- 229910052698 phosphorus Inorganic materials 0.000 claims description 7
- 239000011574 phosphorus Substances 0.000 claims description 7
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 5
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 claims description 5
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 5
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 claims description 5
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 claims description 5
- 229910052721 tungsten Inorganic materials 0.000 claims description 5
- 239000010937 tungsten Substances 0.000 claims description 5
- 239000012159 carrier gas Substances 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 2
- 238000007747 plating Methods 0.000 claims 2
- 230000007704 transition Effects 0.000 claims 2
- 230000004888 barrier function Effects 0.000 abstract description 3
- 238000005054 agglomeration Methods 0.000 abstract description 2
- 230000002776 aggregation Effects 0.000 abstract description 2
- 238000012876 topography Methods 0.000 abstract 2
- 239000010410 layer Substances 0.000 description 99
- 238000012545 processing Methods 0.000 description 26
- 239000000463 material Substances 0.000 description 17
- 229910052715 tantalum Inorganic materials 0.000 description 17
- 238000010438 heat treatment Methods 0.000 description 11
- 229910000077 silane Inorganic materials 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 238000005137 deposition process Methods 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 8
- 239000010936 titanium Substances 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 7
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 7
- 238000009713 electroplating Methods 0.000 description 6
- 229960004194 lidocaine Drugs 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- UQONAEXHTGDOIH-AWEZNQCLSA-N O=C(N1CC[C@@H](C1)N1CCCC1=O)C1=CC2=C(NC3(CC3)CCO2)N=C1 Chemical compound O=C(N1CC[C@@H](C1)N1CCCC1=O)C1=CC2=C(NC3(CC3)CCO2)N=C1 UQONAEXHTGDOIH-AWEZNQCLSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000005672 electromagnetic field Effects 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 230000003068 static effect Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 229910005881 NiSi 2 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 229910006501 ZrSiO Inorganic materials 0.000 description 2
- 238000005513 bias potential Methods 0.000 description 2
- 210000000746 body region Anatomy 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000010304 firing Methods 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 229910052743 krypton Inorganic materials 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- 230000000630 rising effect Effects 0.000 description 2
- 238000004626 scanning electron microscopy Methods 0.000 description 2
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 241000196324 Embryophyta Species 0.000 description 1
- 240000004859 Gamochaeta purpurea Species 0.000 description 1
- 235000015847 Hesperis matronalis Nutrition 0.000 description 1
- 240000004533 Hesperis matronalis Species 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 229910008807 WSiN Inorganic materials 0.000 description 1
- SSLSOHVYTNAFRT-UHFFFAOYSA-H [OH-].[OH-].[OH-].[OH-].[OH-].[OH-].[W+6] Chemical compound [OH-].[OH-].[OH-].[OH-].[OH-].[OH-].[W+6] SSLSOHVYTNAFRT-UHFFFAOYSA-H 0.000 description 1
- CDKYSNZKPRHTDL-UHFFFAOYSA-N [Ta]N Chemical compound [Ta]N CDKYSNZKPRHTDL-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000007799 cork Substances 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000008676 import Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000001764 infiltration Methods 0.000 description 1
- 230000008595 infiltration Effects 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- XZWYZXLIPXDOLR-UHFFFAOYSA-N metformin Chemical compound CN(C)C(=N)NC(N)=N XZWYZXLIPXDOLR-UHFFFAOYSA-N 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000001537 neural effect Effects 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 150000003304 ruthenium compounds Chemical class 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000010944 silver (metal) Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1068—Formation and after-treatment of conductors
- H01L2221/1073—Barrier, adhesion or liner layers
- H01L2221/1084—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L2221/1089—Stacks of seed layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
本发明提供一种用于控制衬底上铜聚集和用于形成集成电路中凹入特征的无空隙块状金属铜填充的方法。在一个实施例中,该方法包括:提供具有图案的衬底,而该图案包括上表面和至少一个凹入特征,而该凹入特征至少包括侧壁表面和下表面;在该衬底图案上沉积屏蔽膜;并且在该屏蔽膜上沉积含金属润湿膜。该方法还包括通过物理气象沉积法在该含金属润湿膜上沉积金属铜,其中衬底温度足够高,由此在该含金属润湿膜上形成光滑金属铜种层。可在至少一个凹入特征中电镀无空隙块状金属铜。
Description
关联申请的交叉引用
本发明与公开号为11/693,298(代理案件号为TTCA-178),申请日为2007年3月29日,专利名称为“形成低电阻率铜膜结构的方法(METHOD OF FORMING LOW-RESISTIVITY COPPER FILMSTRUCTURES)”的美国专利申请相关。本发明与公开号为11/864,566(代理人案件号为TTCA-229),申请日为2007年9月28日,专利名称为“半导体器件中凹入特征的无空隙铜填充(VOLD-FREE COPPERFILLING RECES SED FEATURES FOR SEMICONDUCTOR DEVICES)”的美国专利申请相关。在此通过引用将其整体结合在本说明书中。
技术领域
本发明涉及半导体器件的制造,更具体而言,涉及集成电路中控制衬底上铜的聚集和凹入特征的无空隙块状金属铜(Cu)填充的加工方法。
背景技术
集成电路(IC)包括半导体器件和多个为半导体器件提供电流及允许这些半导体器件共享和交换信息的导电金属路径。金属层堆积在另一可彼此绝缘金属层的内部金属层或夹层介电层的上方。通常地,各个金属层必须至少与一个附加金属层形成电接触。这样的电接触可以通过在分离金属层的夹层电介质中蚀刻孔(例如过孔),并将所得的过孔用金属填满以生成互连结构实现。金属层通常占据着夹层电介质中的蚀刻路径。“过孔”通常涉及任何形成于介电层中、通过介电层为其下层的导电层提供电接触的微观特征如孔、直线或其它类似特征。类似地,包括连接两个或更多过孔的金属层的微观特征通常被参考为沟槽。
在集成电路(IC)技术的不断发展中,长远的目标是不断缩减IC维度。IC维度的这个缩减可减少区域电容,而且对获得更高的ICs速度性能是至关重要的。此外,IC芯片面积的缩小导致IC生产线中的更高产量。这些发展是不断缩减IC维度的驱动力。器件性能的增加通常是通过器件面积的减少或器件密度的增加而实现的。器件密度的增加需要过孔维度的降低,这该过孔用于形成互连结构,包括较大的纵横比(例如,深度和宽度之比)。当图案衬底(晶片)上的最小特征维度稳定减小时,该缩减的数几个结果就变得越来越明显。当金属连线的宽度缩减至亚微米及甚至纳米维度时,这些小特征便很难用块状金属填满,这将导致块状金属填充物中形成空隙和电迁移失败,而电迁移失败可能会导致打开和挤出金属连线。
在用于生产集成电路的多层金属化方案中,金属铜的引入可通过镶嵌块状金属铜电镀方法实现,其中,块状金属被用于填充过孔及/或沟槽,并且执行化学机械平坦化(CMP)可使块状金属铜平坦化。目前金属铜电镀方法广泛用于高级微处理器和具体电路应用的厂家。然而,金属铜不能直接和介电材料接触,因为金属铜对于介电材料具有较差的粘附性,而且金属铜容易渗出诸如硅和介电材料等普通的集成电路材料是公知的,其中铜为半能带隙杂质。此外,氧气可以从含氧的介电材料中渗入金属铜,从而降低了金属铜的导电性能。因此,渗透屏蔽材料(diffusion barrier material)被形成于介电材料上,和集成电路中的其它材料包围金属铜,并阻止金属铜渗入集成电路材料。氮化钽/钽双分子层通常被用作铜金属化时的渗漏屏蔽/粘附层,因为氮化钽屏蔽膜可以很好地吸附氧化物,且为铜渗漏提供一个很好的屏蔽,以及氮化钽屏蔽为形成于其上的氮化钽和形成于其上的金属铜提供很好的粘结。然而,钽粘附层容易被氧化,这将降低它的铜润湿性。
互连结构上的金属铜电镀通常在金属铜电镀之前需要一个沉积于衬底图案上的成核或种层(例如,金属铜种层)。然而,当金属铜种层在室温下沉积于钽和许多其它材料上时,就会产生金属铜聚集(copper metal agglomeration)是共知的。金属铜聚集会导致不连续的金属铜种层。金属铜聚集对随后的金属铜电镀过程是有害的,而且会导致平板块状金属铜中频繁出现空隙。为了减少钽粘附层上的金属铜聚集,金属铜种层经常在较低的衬底温度下沉积,例如-25℃、-30℃或更低的衬底温度,并在较低的衬底温度下使用低温的静电卡盘来支持和维持衬底(晶片)。然而,较低的衬底温度会导致粗糙的或不规则的金属铜层,且不会消除钽粘附层上的金属铜聚集和平板块状金属铜上形成的空隙。
因此,用于形成平滑且无聚集的金属铜种层的过程方法是必需的,以允许具有高纵横比的小凹入特征的无空隙金属铜填充。
发明内容
本文提供了一种用于控制衬底上的铜聚集和用于形成集成电路中凹入特征的无空隙块状金属铜填充的方法。该方法利用了能够形成平滑金属铜种层的含金属润湿膜和具有高纵横比的小凹入特征的无空隙金属铜填充。
根据本发明的一个实施例,提供了一种用于控制衬底上铜聚集的方法。该方法包括提供具有图案的衬底,而该图案包括上表面和至少一个凹入特征,而该凹入特征由至少一个侧壁表面和下表面组成;在该衬底图案上沉积屏蔽膜;在该屏蔽膜上沉积含金属润湿膜;以及通过物理气象沉积法在含金属润湿膜上沉积金属铜,其中含金属润湿膜可阻止金属铜聚集,及其中衬底温度基本很高以使金属铜在含金属润湿膜上形成光滑的金属铜种层。
根据本发明的一个实施例,提供了一种加工衬底的方法。该方法包括提供具有图案的衬底,而该图案包括上表面和至少一个凹入特征,而该凹入特征至少由一个侧壁表面和下表面组成;在该衬底图案上沉积屏蔽膜;以及在该屏蔽膜上沉积含金属润湿膜。该方法还包括在含金属润湿膜上物理气象沉积金属铜,其中含金属润湿膜可阻止金属铜的聚集,及其中衬底温度大于0℃,并使金属铜在含金属润湿膜上形成光滑的金属铜种层;以及在至少一个凹入特征上电镀无空隙块状金属铜。
附图说明
下面附图中:
图1A-1C概要示出了本发明的实施例中通过光滑金属铜种层使用块状金属铜进行凹入特征的无空隙填充的方法的剖视图;
图2A-2B概要示出了使用有空隙的块状金属铜填充凹入特征的经典方法的剖视图;
图3A-3D为本发明的实施例中通过光滑金属铜种层使用块状金属铜进行凹入特征的无空隙填充的方法的步骤流程图;
图4A和4B概要示出了本发明的实施例中通过光滑金属铜种层可以充满无空隙块状金属铜的附加凹入特征的剖视图;
图5示出了本发明的实施例中用于沉积光滑铜种层的制程系统的示例性方框图;以及
图6A-6B示出了不同的衬底温度下在金属钌润湿膜上通过物理气相沉积法沉积金属铜种层的扫描电子显微镜(SEM)的剖视图。
具体实施方式
本发明提供了一种用于控制衬底上铜聚集和用于提供集成电路中凹入特征的无空隙块状金属铜填充的方法。在一个实施例中,下层的含金属润湿膜可使凹入特征中通过物理气象沉积法的光滑金属铜种层的沉积简化。含金属润湿膜可包含金属膜,而该金属膜为电镀的金属铜膜提供较小的接触角度,从而为含金属润湿膜上金属铜提供良好的润湿性。含金属润湿膜可例如包含非晶体、多晶体或金属晶体钌(Ru)、金属钯(Pd)、金属铑(Rh)或金属银(Ag)或它们的混合物。在其它例子中,含金属润湿膜可包含例如这些金属和氮、氧、碳、硼或磷的化合物。在本发明的实施例中,该制程方法适合在半导体器件的高容量生产中,微小特征的无空隙块状金属铜填充,而这些半导体器件使用大图形衬底如200mm、300mm或更大直径的衬底。
相关领域的技术人员应认识到各种实施例可在不具备一个或多个具体细节或具备其它更换及/或附加方法、材料或部件的情况下实施。在其它例子中,共知的结构和操作未被详细示出或描述以避免混淆本发明的各种实施例的方面。相似地,出于解释的目的,提到的具体数字和构造是为了提供对本发明的透彻理解。然而,本发明可在不具备具体细节的情况下被实施。此外,应理解图表中示出的各种实施例为说明性表达,且不必按比例描绘。
贯穿此说明书,对“一个实施例”和“实施例”的引用意为一种与该实施例相关联而描述的特定特征、结构、材料、或特性被包含于至少一个实施例中。因此,在此说明书通篇的多个地方,用语“在一个实施例中”或“在实施例中”的出现都未必是指相同的实施例。此外,在一个或多个实施例中,特定的特征、结构、材料、或特性可以任何适当的方式组合。各种附加层及/或结构可包含于其它实施例中,及/或被描述的特征在其它实施例中可被忽略。
各种操作将以一种最有助于理解本发明的方式依次被描述为多种离散操作。然而,描述的顺序不应该逐字被翻译以暗示这些操作必须依赖于顺序。具体而言,这些操作没有必要按表达的顺序执行。被描述的操作可以与被描述的实施例中的不同顺序被执行。各种附加操作在附加实施例中被执行,及/或被描述的特征在附加实施例中可被忽略。
当金属铜在IC金属化过程中被沉积在诸如钽或氮化钽的经典屏蔽膜上时,它往往会聚集。屏蔽膜上金属铜的这种行为取决于金属铜和屏蔽膜的内表面处表面张力的平衡。在钽或氮化钽屏蔽膜的实例中,甚至如果金属铜被保形沉积时,由于屏蔽膜上金属铜的热力学性能,那么沉积后金属铜便会聚集,并在金属铜种层中形成一个带有空隙的非连续性聚集的金属铜种层以露出屏蔽膜。在实施物理气象沉积法的经典温度下,铜由于其单纯的元素组成极易流动,而且因此很快便达到热力学上的有利状态。为了减少钽或氮化钽屏蔽膜上的铜聚集,金属铜种层通常在较低或特别低的衬底温度下沉积,包括0℃以下的温度,例如-25℃、-30℃、-50℃、或甚至更低的衬底温度。尽管在这些较低和特别低的衬底温度下金属铜聚集会减少,但是该金属铜种层未提供一个光滑的屏蔽膜的金属铜涂层。
本发明的实施例描述了用于控制衬底上铜聚集和用于在凹入特征上沉积光滑金属铜种层和用无空隙块状金属铜填充该凹入特征的制程方法。在一个实施例中,光滑金属铜种层的沉积可通过下层的含金属润湿膜实现。当代的发明人已认识到光滑金属铜种层可在相对较高的衬底温度下在含金属润湿膜上通过物理气象沉积法沉积。这是非期望的,及明显不同于现有技术的,因为如上述的,金属铜种层通常在非常低的衬底温度下被沉积,包括0℃以下的温度,例如-25℃、-30℃、-50℃、或甚至更低的衬底温度,为了减少发生在较高衬底温度下的金属铜种层聚集。
图6A-6B示出了不同的衬底温度下在金属钌润湿膜上通过物理气相沉积法沉积金属铜种层的扫描电子显微镜(SEM)的剖视图。图6A示出了在30℃的衬底温度下在宽度为100nm(纳米)及纵横比为4∶1~5∶1的凹入特征610中沉积在金属钌润湿膜上的金属铜形成了光滑的金属铜种层。箭头612示为金属铜种层和金属钌润湿膜的结合体。金属钌润湿膜的保形厚度约为2nm,和特征610中的金属铜种层厚度约为1~2nm,及在场区域中沿特征610的金属铜种层厚度约为20nm。通过经典的铜电镀制程,凹入特征610的随后块状金属铜填充(未示出)达到了凹入特征610的无空隙填充。应该考虑光滑的金属铜种层促进了密集的金属铜成核,会导致凹入特征的块状金属铜填充中空隙的减少。
作为对比,如图6A所示,当金属铜在-30℃的衬底温度下在凹入特征600中沉积于金属钌润湿膜上以及随后被加热至约为室温进行分析时,在金属钌润湿膜上得到了一个非连续的金属铜种层。金属铜种层和金属钌润湿膜的结合体通过箭头602指出。通过铜电镀制程得到的凹入特征600的随后的块状金属铜填充导致块状金属铜填充(未示出)中出现空隙。本领域的技术人员共知块状金属铜填充中空隙的出现增加了半导体器件的电阻,以及出现诸如电迁移失败(EM)等其它不利影响,而电迁移失败可能会导致打开和挤出金属连线。
尽管不希望被理论所束缚,但是应该深思较高的衬底温度(如大于0℃,例如30℃)导致金属钌润湿膜上金属铜的高流动(迁移),但是由于金属钌润湿膜的良好润湿性能,在金属钌润湿膜上形成一个光滑的金属铜种层。如果衬底温度太高,例如大于200℃,可能会发生金属铜种层聚集。作为进一步比较,在-30℃和30℃的衬底温度下,在钽屏蔽膜上形成非连续的粗糙金属铜种层。这说明在金属铜物理气象沉积法期间,金属钌润湿膜和较高的衬底温度(如大于0℃)的结合可形成集成电路中用于经典凹入特征的后续无空隙块状铜填充的光滑金属铜种层。
图1A-1C概要示出了本发明的实施例中通过光滑金属铜种层使用块状金属铜进行无空隙填充凹入特征的方法的剖视图。图1A示出了形成于衬底100,例如硅衬底或介电材料上的凹入特征1。介电材料可例如包含二氧化硅(SiO2)、低导电系数(k)的介电材料如氟化硅玻璃(FSG)、含碳氧化物、聚合物、含SiCOH的低k材料、无孔低k材料、带孔低k材料、化学汽相沉积的低k材料、旋转涂覆电介质(SOD)的低k材料、或任意其它合适的介电材料。图1A所示的结构包含凹入特征1中沿凹入特征1的“场”区域105a、和侧壁区域105b、及底部区域105c。
根据本发明的一个实施例,凹入特征1的纵横比(深度/宽度)大于或约等于2∶1,例如3∶1、4∶1、5∶1、6∶1、12∶1、15∶1、或更大。该凹入特征的宽度约为500nm或更小,例如200nm、150nm、100nm、65nm、45nm、32nm、20nm、或更低。然而,本发明的实施例不仅限于这些纵横比或特征宽度,因为也可使用其它纵横比或特征宽度。进一步地,本发明的实施例可被应用于其它形状的凹入特征。举例来说,这些其它凹入特征形状可包括“V”(凸起体)轮廓和凹角(凹入体)轮廓。
图1A还示出了形成于凹入特征1上的屏蔽膜102。该屏蔽膜可例如包含金属氮化物屏蔽膜102、或金属氮化硅屏蔽膜102。金属氮化物屏蔽膜的例子包括氮化钽(TaN)、氮化钛(TiN)、氮化钨(WN)、或它们的混合物。混合物可包括两种或更多单独的TaN、TiN和WN膜,例如TaN/TiN或TaN/WN。金属氮化硅屏蔽膜的例子可包括氮化硅钽(TaSiN)、氮化硅钛(TiSiN)、氮化硅钨(WSiN)。屏蔽膜102的厚度可例如介于约1nm和约10nm之间、或介于约2nm和约5nm之间,例如约4nm。该屏蔽膜102可以通过本领域的普通技术人员所共知的各种不同的沉积方法沉积,包括但不仅限于化学汽相沉积法(CVD)、脉冲式CVD、等离子体增强CVD(PECVD)、原子层沉积(ALD)、等离子体增强ALD(PEALD)、或溅射方法。根据本发明的一个实施例,该屏蔽膜102可通过无等离子体的制程沉积,如CVD、脉冲式CVD、或ALD,以避免制程过程中的可能等离子体损伤。此外,无等离子体的制程和等离子体制程比较,通常能够更好地沉积连续膜,特别适合包含高纵横比的图形衬底。
多种含Ta-、Ti-和W-的前体可被用于沉积屏蔽膜102。含Ta-前体的代表性例子包括Ta(NMe2)5(五-(二甲氨基)-钽,PDMAT)、Ta(NEtMe)5(五-(甲乙氨基)-钽,PEMAT)、(tBuN)Ta(NMe2)3(叔丁氨基-三-(二甲氨基)-钽,TBTDMT)、(tBuN)Ta(NEt2)3(叔丁氨基-三-(二乙氨基)-钽,TBTDET)、(tBuN)Ta(NEtMe)3(叔丁氨基-三-(甲乙氨基)-钽,TBTEMT)、(EtMe2CN)Ta(NMe2)3(叔戊氨基-三-二甲氨基钽,TAIMATA)、(iPrN)Ta(NEt2)3(异丙氨基-三-(二乙氨基)-钽,IPTDET)、Ta2(OEt)10(十-乙氧基钽,TDEAT)、(Me2NCH2CH2O)Ta(OEt)4(四-乙氧基-二甲基乙氧基氨基-钽,TATDMAE)和TaCl5(五氯化钽)。含Ti-前体的代表性例子包括Ti(NEt2)4(四-(二乙氨基)-钛,TDEAT)、Ti(NMeEt)4(四-(甲乙氨基)-钛,TEMAT)、Ti(NMe2)4(四-(二甲氨基)-钛,TDMAT)、Ti(THD)3(三-(2,2,6,6-四甲基-3,5-庚酮)-钛)和TiCl4(四氯化钛)。含W-前体的代表性例子包括W(CO)6(六羟基钨)、WF6(六氟化钨)和(tBuN)2W(NMe2)2(二-(叔丁氨基)-二-(二甲氨基)-钨,BYBMW)。
在一些实施例中,含氮气体如氨气(NH3)或肼(N2H4)被用作沉积屏蔽102时的氮源。可被用作为硅源的含硅气体的例子包括但不仅限于硅烷(SiH4)、乙硅烷(Si2H6)、一氯硅烷(SiClH3)、二氯硅烷(SiH2Cl2)、三氯硅烷(SiHCl3)、六氯乙硅烷(Si2Cl6)、二乙基硅烷(Et2SiH4)和烃氨基硅烷混合物。烃氨基硅烷混合物的例子包括,但不仅限于二-异丙氨基硅烷(H3Si(NPr2))、二-(叔丁氨基)-硅烷((C4H9(H)N)2SiH2)、四-(二甲氨基)-硅烷(Si(NEtMe)4)、四-(甲乙氨基)-硅烷(Si(NEt2)4)、四-(二乙氨基)-硅烷(HSi(NMe2)3)、三-(二甲氨基)-硅烷(HSi(NEtMe)3)、三-(甲乙氨基)-硅烷(HSi(NEt2)3)、三-(二乙氨基)-硅烷(HSi(N(H)NMe2)3)、二-(二乙氨基)-硅烷(H2Si(NEt2)2)、二-(二-异丙氨基)-硅烷(H2Si(NPr2)2)、三-(异丙氨基)-硅烷(HSi(NPr2)3)和(二-异丙氨基)-硅烷(H3Si(NPr2))。在本发明的实施例中,使用了下列缩略词:Me:甲基;Et:乙基;Pr:丙基;iPr:异丙基;tBu:叔丁基;Cp:环戊二烯基和THD:2,2,6,6-四甲基-3,5-庚酮。
图1A还示出了本发明实施例中沉积在屏蔽膜102上的含金属润湿膜104。含金属润湿膜104可包含一种过渡金属,而该过渡金属可为沉积在含金属润湿膜104上的金属铜提供较低的接触角度。含金属润湿膜104可例如包含非晶体、多晶体或金属晶体钌(Ru)、金属钯(Pd)、金属铑(Rh)或金属银(Ag)及其混合物。在其它例子中,含金属润湿膜可包含例如这些金属和氮(如RuN)、氧(如RuO2)、碳(如RuC)、硼(如RuB)或磷(如RuP)的化合物。含金属润湿膜104的厚度可以例如介于约0.1nm和约5nm之间、或介于约0.5nm和约2nm之间,例如约1nm。该含金属润湿膜104可以通过本领域的普通技术人员所共知的各种不同的沉积方法沉积,包括但不仅限于CVD、脉冲式CVD、PECVD、ALD、PEALD、或溅射方法。
在一个例子中,含金属润湿膜104可以为在180℃的衬底温度下利用前体Ru3(CO)12和载气CO通过CVD制程沉积在氮化钽屏蔽膜102上的金属钌膜104。金属钌膜104被沉积于高纵横比结构上具有非常高的连续性和厚度均匀性,而且可被退火至下述沉积中的较高温度。利用前体Ru3(CO)12和载气CO的金属钌CVD制程在美国专利号第7,270,848号中得以描述,在此将其结合入本说明书作为参照。在另一例子中,金属钌膜104可利用前体金属钌有机化合物通过CVD制程沉积。示例性的金属钌有机化合物包括(2,4-二甲基二烯基)-(乙基环戊二烯基)-钌(Ru(DMPD)EtCp))、二-(2,4-二甲基二烯基)-钌(Ru(DMPD)2)、(4-二甲基二烯基)-(甲基环戊二烯基)-钌(Ru(DMPD)(MeCp))和二-(乙基环戊二烯基)-钌(Ru(EtCp)2)和这些和其它前体的混合物。用于沉积金属钌膜104的其它例子包括用固态金属钌标靶的溅射方法。
在一个例子中,含金属润湿膜可包含润湿金属(Ru、Pd、Rh、Ag)的化合物。举例来说,含钌化合物可包括钌和氮、钌和氧、钌和碳、钌和硼或钌和磷。这些化合物可在CVD制程中通过将包含氮(如NH3)、氧(如H2O)、碳(如CO2)、硼(如BH3)、或磷(PH3)的气体加入含钌的制程气体中形成。
图1B示出了本发明实施例中包含沉积于含金属屏蔽膜104上的光滑金属铜种层106的凹入特征2。该金属铜种层106至少在场区域106a、侧壁区域106b和底部区域106c中没有间隙的情况下基本涂满含金属屏蔽膜104。根据本发明的一个实施例,光滑金属铜种层106可连续涂满含金属屏蔽膜。
金属铜种层106的厚度可例如介于约0.1nm和约5nm之间、或介于约0.5nm和约2nm之间,例如为图1B所述的约1nm,场区域106a上的金属铜种层106厚度可大于侧壁区域106b和底部区域106c上的金属铜种层106厚度。
根据本发明的实施例,光滑金属铜种层106可通过溅射方法沉积在含金属屏蔽膜104上,例如物理气象沉积法(PVD)或电离物理气象沉积法(IPVD)。示例性的IPVD系统在图5和美国专利申请号6,287,435中得以图示。在金属铜沉积过程中,衬底温度维持得足够高(如大于0℃)以在含金属屏蔽膜104上形成光滑的金属铜种层106。根据本发明的一个实施例,衬底温度可以大于0℃,例如约20℃、约30℃、或甚至更高。举例来说,衬底温度的范围可从大于0℃到200℃、从10℃到100℃、从大于20℃到50℃、或从25℃到40℃。
作为图1B的比较,图2A示出了在-30℃的衬底温度下包含通过PVD或IPVD沉积在含金属润湿膜104上的非连续金属铜种层的凹入特征3。金属铜种层108包含沿凹入特征103的场区域上的金属铜种层部分108a、凹入特征3的底面区域上的金属铜种层部分108b和凹入特征3的侧壁区域上的金属铜种层部分108c。金属铜种层部分108c包含空隙107,其中该含金属润湿膜104未被金属铜连续覆盖。
接着参考图1A-1C,图1C概要示出了图1B中凹入特征2的无空隙块状金属铜的过度填充。无空隙块状金属铜的过度填充110可通过在光滑金属铜种层上镀铜来实现。块状金属铜沉积法为电路制造领域的普通技术人员所共知,而且可包含例如电化学镀膜法和化学镀膜法。尽管图1C中未图示,但是块状金属铜的过度填充110通常依照化学机械抛光法(CMP)来使其平坦化和去除场区域106a中过量的金属铜和含金属润湿膜104及屏蔽膜102。
作为对比,图2B示出了图2A中凹入特征3的块状金属铜填充。如图2B所示,块状金属铜过量填充112包含块状金属铜过量填充112界面附近的有害空隙114和含金属润湿膜104。正如本领域普通技术人员所共知的,块状金属铜过量填充112中空隙114的存在会增加电阻,且对含块状金属铜过量填充112的半导体器件产生不利影响。
图3A-3D为本发明的实施例中通过光滑金属铜种层使用块状金属铜进行无空隙填充凹入特征的方法的步骤流程图。该步骤流程可被应用于具有图案的衬底和至少一个凹入特征,而该图案包括上表面,该凹入特征由至少一个侧壁表面和下表面组成。在一个例子中,该至少一个凹入特征可包含过孔、沟槽、或其结合体如双大马士革结构。
在图3A中,流程300包括:在方框302中,在衬底的凹入特征中沉积屏蔽膜。该屏蔽膜可包含氮化钽、氮化钛、氮化钨、氮化硅钽、氮化硅钛、或氮化硅钨、或它们的混合物。根据一个实施例,该屏蔽膜可以基本均匀的膜厚度保形沉积在衬底图案上。然而,只要该屏蔽膜连续覆盖该衬底图案,就无需要求基本均匀的厚度。
在方框304中,在该屏蔽膜上沉积含金属润湿膜。该含金属润湿膜可包含例如非晶体、多晶体或金属晶体钌(Ru)、金属钯(Pd)、金属铑(Rh)、或金属银(Ag)、或它们的混合物。在其它例子中,该含金属润湿膜可包含例如这些金属和氮、氧、碳、硼或磷的化合物。根据一个实施例,该含金属润湿膜可以基本均匀的膜厚度保形沉积在屏蔽膜上。然而,只要该含金属润湿膜连续覆盖该衬底图案,就无需要求基本均匀的厚度。根据本发明的一个实施例,该屏蔽膜和该含金属润湿膜均至少基本保形沉积于该衬底图案上。
在一个例子中,该屏蔽膜包含氮化钽,且该含金属润湿膜包含金属钌。氮化钽可例如使用含Ta-前体和NH3通过CVD沉积。在宽度约为500nm或更小的凹入特征中,氮化钽的厚度可例如介于约1nm和约10nm之间、或介于约2nm和约5nm之间,例如约4nm。金属钌可在介于150℃和200℃之间,例如在180℃的衬底温度下利用前体Ru3(CO)12和载气CO通过CVD沉积。金属钌的厚度可例如介于约0.1nm和约5nm之间、或介于约0.5nm和约2nm之间,例如约1nm。
在方框306中,在含金属润湿膜上形成光滑金属铜种层。金属铜通过物理气象沉积法沉积在含金属润湿膜上。根据本发明的实施例,在金属铜沉积过程中,衬底温度维持得足够高(如大于0℃)以在含金属屏蔽膜上形成光滑金属铜种层。根据本发明的实施例,衬底温度低于在金属铜种层中发生金属铜的实质聚集温度。根据本发明的一个实施例,衬底温度大于0℃或低于在含金属润湿膜上的金属铜种层中发生金属铜的实质聚集温度。
在方框308中,在凹入特征中电镀无空隙块状金属铜。凹入特征中电镀的块状金属铜的数量可足够用块状金属铜填充或过量填充该凹入特征,但是当且仅当执行该凹入特征的部分填充时,这是不做要求的。
图3B为本发明另一实施例中通过光滑金属铜种层使用块状金属铜进行无空隙填充凹入特征的方法的步骤流程图。步骤流程320和图3A所示的步骤流程300类似,但包括:在方框322中,在衬底上的凹入特征中沉积一个屏蔽膜;在及方框324中,在该屏蔽膜上沉积一个含金属润湿膜。
在方框326中,对该含金属润湿膜进行热处理。根据本发明的实施例,含金属润湿膜的热处理可包括在升高的衬底温度下使该含金属润湿膜和惰性气体、H2、或惰性气体和H2的混合物接触。举例来说,该混合物可包括0.1-100%H2及平衡惰性气体。该惰性气体可例如在稀有气体(例如He、Ne、Ar、Kr、Xe)和N2中选择。根据本发明的实施例,该含金属润湿膜的热处理可包括加热衬底使衬底温度介于约100℃和约400℃之间、约100℃和约300℃之间、约100℃和约200℃之间,例如150℃。应考虑该含金属润湿膜的热处理还增加了该含金属润湿膜上金属铜的润湿性而以化学方法减少或移除该含金属润湿膜上的任何氧化部分。该含金属润湿膜在该金属润湿膜沉积过程中或在随后的沉积过程中通过接触诸如水和一氧化碳等氧化气体可逐渐被氧化。
该含金属润湿膜的一个示例性热处理包括在3托气压和约150℃的衬底温度下,接触含5%H2的制程气及平衡气N2约1-30分钟的制程时间,但本发明的实施例未被这些制程条件和其它可使用的热处理条件所限制。举例来说,气压可介于约1托和约760托之间。在本发明的一些实施例中,气压可介于约1托和约10托之间。应注意在此说明书中,术语“方框”没有约束可同时或时间部分重叠时被执行的两个步骤。举例来说,含金属润湿膜沉积和含金属润湿膜的热处理可同时或时间部分重叠时被执行。
在方框328中,在含金属润湿膜上形成光滑金属铜种层,及在方框330中,无空隙金属铜被电镀在凹入特征中。
图3C为本发明另一实施例中通过光滑金属铜种层使用块状金属铜进行无空隙填充凹入特征的方法的步骤流程图。步骤流程340和图3A所示的步骤流程300类似,但包括:在方框342中,在衬底上的凹入特征中沉积一个屏蔽膜;在及方框344中,在该屏蔽膜上沉积一个含金属润湿膜。
在方框346中,在含金属润湿膜上形成光滑的金属铜种层。
在方框348中,对该金属铜种层进行热处理。根据本发明的实施例,该金属铜种层的热处理可包括在升高的衬底温度下使该金属铜种层和惰性气体、H2、或惰性气体和H2的混合物接触。举例来说,该混合物可包括0.1-100%H2及平衡惰性气体。该惰性气体可例如从稀有气体(例如He、Ne、Ar、Kr、Xe)和N2中选择。根据本发明的实施例,该该金属铜种层的热处理可包括加热衬底使衬底温度介于约100℃和约400℃之间、约100℃和约300℃之间、约100℃和约200℃之间,例如150℃。应考虑热处理可以化学方法减少或移除该金属铜种层上的任何氧化部分。该含金属润湿膜可在该金属铜种层沉积及/或随后的过程中逐渐被氧化。
该金属铜种层的一个示例性热处理包括在3托气压和约150℃的衬底温度下,与含5%H2的制程气及平衡气N2接触1-30分钟的制程时间,但本发明的实施例未被这些制程条件和其它可使用的热处理条件所限制。举例来说,气压可介于约1托和约760托之间。在本发明的一些实施例中,气压可介于约1托和约10托之间。举例来说,该金属铜种层沉积和该金属铜种层的热处理可同时或时间部分重叠时被执行。
在方框350中,在凹入特征中电镀无空隙金属铜。
图3D为本发明另一实施例中通过光滑金属铜种层使用块状金属铜进行无空隙填充凹入特征的方法的步骤流程图。步骤流程360和图3A、3B、3C所示的各自步骤流程300、320、340类似,但包括:在方框362中,在衬底的凹入特征中沉积一个屏蔽膜;在及方框364中,在该屏蔽膜上沉积一个含金属润湿膜。
在方框366中,对该含金属润湿膜进行热处理。该含金属润湿膜的热处理可在存在惰性气体、H2、或惰性气体和H2的混合物,衬底温度介于约100℃和约400℃之间时被执行。
在方框368中,在含金属润湿膜上形成光滑金属铜种层。
在方框370中,对该金属铜种层进行热处理。该金属铜种层的热处理可在存在惰性气体、H2、或惰性气体和H2的混合物,衬底温度介于约100℃和约400℃之间时被执行。根据本发明的一个实施例,方框366和370中的热处理可利用相同的制程条件。
在方框372中,在凹入特征中电镀无空隙金属铜。
图4A和4B概要示出了本发明的实施例中通过光滑金属铜种层可充满无空隙块状金属铜的附加凹入特征的剖视图。示例性的凹入特征1在图1A中得以图示和描述,但是本发明的实施例可被应用于集成电路设计中其它类型的凹入特征。如本领域普通技术人员所理解的,包括屏蔽膜沉积、含金属润湿膜沉积、金属铜种层沉积和无空隙块状金属铜填充的本发明实施例可被轻松地应用在图4A和图4B所述的凹入特征中。
图4A概要示出了本发明的一个实施例中图案结构的剖视图。所述的图案结构包含一个形成于导电层422上的双大马士革特征424。双大马士革特征424分别包含具有侧壁表面428a和下表面428b的过孔428,以及形成于介电材料418上的沟槽426,其中沟槽426分别包含侧壁表面426a和下表面426b。沟槽426可被用来将上层导电互连结构和过孔428通过沟槽426联结至导电层422。该图案结构还包含介电层412和414、包围导电层422的屏蔽层420和蚀刻阻挡层416。
图4B概要示出了本发明的另一实施例中图案结构的剖视图。该图案结构形成在衬底400上,且包含形成于介电膜402上的凹入特征405a和形成于该凹入特征405a底部的栅电极406上的导电层403a。该栅电极406是栅结构的一部分,而该栅结构还包含栅介质膜407。栅介质膜407可包含SiO2、SiOxNy、SiNy、或介电常数大于SiO2(k~3.9)的高介电常数(高-k)材料、或它们的混合物。高-k材料可包含金属氧化物、金属氮氧化物、和它们的硅化物,例如Ta2O5、TiO2、ZrO2、Al2O3、Y2O3、HfOxNy、HfO2、ZrSiOx、ZrOxNy、ZrSiOxNy、TaSiOx、SrOx、SrSiOx、LaOx、LaSiOx、YOx、YSiOx、或BaO、或它们中两个或多个的混合物。
此外,图4B中的图案结构包含形成于介电膜402上的凹入特征405b和形成于掺杂衬底区域401(例如漏极或源区域)上的导电层403b,而掺杂衬底区域401位于凹入特征405a底部的衬底400中。衬底400可例如为200nmSi晶片、300nmSi晶片、或更大的Si晶片、介电膜402可包含SiO2、SiON、SiN或介电常数小于SiO2(k~3.9)的低介电常数(低-k)材料。根据本发明的一个实施例,凹入特征405a、405b可为纵横比(深度/宽度)大于或约等于2,例如3、4、5、6、7、12、15、或更高的过孔。该过孔的宽度约为200nm,例如150nm、100nm、65nm、45nm、32nm、20nm、或更低。在一个例子中,凹入特征405a、405b可以是纵横比约为7、宽度为45nm的过孔。然而,本发明的实施例不仅限于这些纵横比和过孔宽度,如可使用其它纵横比和过孔宽度。导电层403a、403b可包含硅化接触层以提供薄而稳定的电接触,并可包含例如CoSi2、PiSi、Pd2Si、TiSi2、WSi2、NiSi2、或TaSi2、或它们中两种或多种的混合物。一种混合物可包含PtNiSi,和NiSi2相比,PtNiSi允许使用较高的制程温度。图4A所述的用于形成图案衬底的制程方法为本领域技术人员所共知。
图5示出了本发明的实施例中用于沉积光滑铜种层的制程系统的示例性方框图。在图示的实施例中,IPVD系统500被示出。IPVD系统500包括IPVD处理模块510;被耦合至金属铜标靶525的直流(DC)电源505,而该金属铜标靶525被耦合至制程腔室520;制程气供应系统530;压力控制系统540;射频(RF)振荡器550;RF偏置振荡器555,而该RF偏置振荡器555可被耦合至被控温的衬底保持器570中的电极557;被耦合至被控温的衬底保持器570的衬底温度控制系统575;可被耦合至被控温的衬底保持器570的背侧气体供应系统580和连接到静电卡盘(ESC)控制单元587的ESC电极585。
IPVD系统500包括被耦合至制程腔室520的控制器590、DC电源505、制程气供应系统530、压力控制系统540、RF振荡器550、RF偏置振荡器555、衬底保持器570、衬底保持器温度控制系统575、背侧气体供应系统580和ESC控制单元587。
IPVD制程腔室520包含天线534、被耦合至天线534的RF输送窗口531、被耦合至窗口531的百叶式沉积隔板533和被耦合至制程腔室520的金属铜标靶525。RF功率可通过RF振荡器550供应给天线534,且通过窗口输送的RF功率在制程腔室520的等离子体区域522中产生电感耦合等离子体(ICP)。根据本发明的实施例,电感耦合等离子体可被主要限制在金属铜标靶525.窗口531和隔板533附近的等离子体区域522中。
天线534通过RF匹配神经网络可以电力连接至RF振荡器550。RF振荡器550可选择性地使天线534通电和驱动。RF振荡器550可提供频率介于约100kHz和约100MHz之间时随时间变化的RF电流,而该频率在ICP功率介于约100W和约10000W时被提供给天线534。举例来说,可使用大约13.56MHz的工作频率。可替换地,可使用其它频率。当天线534通过RF振荡器550通电时,便发出各向同性的RF电磁场。金属的外壳或网箱被用于包围天线以约束其中发出的RF电磁场,确保附近人员的安全并阻止电磁场干扰周围的电子设备。
天线534可被设置在腔室壁532中窗口531后面的制程腔室520外。百叶式沉积隔板533,优先由开槽的金属材料构成,被设置在接近窗口531的制程腔室520内以在沉积过程中关闭窗口。控制器590可用于决定ICP功率的大小以提供和施加在天线534上的功率。
IPVD系统500包含被控温的衬底保持器570,而该衬底保持器570包含ESC电极585,并且通过Z-运动驱动器572可被耦合至制程腔室520。Z-运动驱动器572可用于调整衬底-标靶间距(空隙)以提供最好的沉积均匀度。控制器590可用于在金属铜沉积过程中调整需求的衬底-标靶间距,并且在有需要时将控制数据提供给Z-运动驱动器572。在金属铜沉积过程中,衬底-标靶间距通常在550~300mm之间。
衬底保持器570可容纳200mm的衬底、300mm的衬底或更大的衬底。举例来说,衬底511可通过由栅阀配件(未示出)控制的开孔(未示出)被移进或移出制程腔室520。此外,衬底511可通过自动的衬底转移系统被转移在衬底保持器570上和离开衬底保持器570。此外,衬底511可由被安置在衬底保持器570中的衬底升降销(未示出)接受,而且被其中安置的器件机械地转移。一旦衬底511从转移系统中被接受,它便为了制程而降低至衬底保持器570的上表面。
在制程过程中,衬底511可通过ESC电极585被保持在衬底保持器570顶部的位置上。可替换地,可使用其它加紧装置。
此外,当衬底位于被控温的衬底保持器570上时,衬底温度可被控制。被控温的衬底保持器570可包括可被耦合至温度控制系统575的加热设备576和冷却设备577。加热设备575和冷却设备577可沿一个或多个背侧气体使用以确立必需的衬底温度。控制器590可被用来决定和控制衬底温度。举例来说,冷却设备577可包括衬底保持器570中的流体通道(未示出)和适当的温度控制。举例来说,在等离子体制程期间,衬底511中产生的热量可通过衬底保持器570有效地散出而保持衬底511以基本恒定的温度,或热量可用以增加衬底温度。衬底温度可通过随温度而改变的被控温衬底保持器570而被控制在约-50℃和约200℃之间。
气体通道(未示出)可用来引导衬底保持器570顶部和衬底511表面之间的背侧(热量转移)气体诸如氦气和氩气。举例来说,可使用双区域系统以确立中心部分和边缘部分的不同且独立的背侧气压值从而提供衬底保持器570和衬底511的不同部分之间的不同导热系数。
一个或多个温度传感器589被设置在衬底保持器570上或内的一个或多个位置处,且可被耦合至可转换温度传感器589中信号的控制器590以提供衬底保持器790不同部分的温度指示。衬底保持器570的温度可用于决定衬底511的温度,而且控制器590可将反馈信息提供给温度控制系统575和用于调节衬底511温度的背侧气体供应系统580。
根据本发明的一个实施例,衬底511和衬底保持器570可以接地。根据本发明的另外一个实施例,RF偏置功率可通过RF偏置振荡器555提供给衬底保持器570中的电极557,而且可被用于提供衬底偏置。控制器590可用于决定需要提供的RF偏置功率的大小以及何时将该再现信号RF偏置功率施加至衬底保持器570。举例来说,在金属铜沉积过程中,RF偏置功率可被开启至适当程度以控制衬底511上的偏置而提高和影响制程。
RF偏置振荡器555的工作频率范围可介于1MHz和100MHz之间。RF偏置振荡器555可用于有选择地朝向衬底511施加而主动加快带电等离子体部件的偏置电势。由RF偏置振荡器555提供的偏置电势基本上决定从等离子体中被吸引至衬底的正离子的动能。RF偏置振荡器555可例如在约13.56MHz的频率下,和在约100W和1000W的功率下工作。可替换地,可使用其它频率,如2MHz或27MHz。
制程气体可通过制程气体供应系统530被提供给制程腔室520。制程气体可包含惰性气体,如氩气,或任何其它惰性气体或与制程气体可共存的非惰性气体。氩气可通过气体管路528被导入制程腔室520。可替换地,可使用其它构造经氩气导入制程腔室520。如图5所概要示出的,氩气被导入邻近金属铜标靶525的制程腔室520中。
腔室气压可通过气压控制系统540控制。气压控制系统540可例如包含真空管(未示出)和节气门(未示出)。腔室气焰可被气压控制系统540维持在较低的气压,例如100m托以下。控制器590可用于控制气压控制系统540,及/或制程气体供应系统530,及因此控制强制腔室气压。
DV电源505可将DC功率供应给金属铜标靶525。控制器590可用于决定要提供的和作用在标靶上的DC功率的大小。举例来说,DC功率的范围可在1,000W和10,000W之间,及可约为2,000W。
控制器590可被构造为将控制数据提供给系统部件和接受系统部件中的制程及/或状态数据。此外,控制器590可被耦合至另一控制系统(未示出),而且可与其它控制系统互换信息。举例来说,控制器590可由微处理器、存储器(例如,挥发性或非挥发性存储器)和能够产生足够通讯的控制电压的数字化I/O接口和IPVD系统500的主动输入,及IPVD系统500的测控输出。此外,控制器590可与其它系统部件互换信息,而且存贮在存储器中的程序可根据制程方法用于控制IPVD系统500的上述部件。此外,控制器590可被构造为分析制程及/或状态数据,以比较该制程及/或状态数据和想得到的制程及/或状态数据,并利用比较而改变制程及/或控制系统部件。此外,控制器590可被构造为分析制程及/或状态数据,以比较该制程及/或状态数据和历史的制程及/或状态数据,并且利用比较以预测、阻止及/或宣布故障。
图5还示出了被耦合至制程腔室520的磁体设备535。该磁体设备535用于决定制程腔室520中等离子体区域中等离子体的形状。具有最小化和可控磁场的系统例子在美国专利申请公开号20040188239中得以描述,而该专利申请被整体包含于本说明书中而作为参考。如图5所示,磁体设备535可被设置在金属铜525后,而且可用于制造及/或改变制程腔室520中等离子体区域中静磁场的形状。在一个实施例中,金属铜沉积过程可通过具有弱磁场强度的磁体设备535而被执行。磁体中的场线可伸入处理室中。在可替换的实施例中,可强迫改变腔室中存在的这些和其它场线而加快金属铜沉积过程。举例来说,通过物理地移动及/或旋转磁体可控制磁体构造而改变磁场。此外,可用电磁体或电磁体部件而改变磁场。此外,可用局部静态磁场而优化标靶的性能。一些IPVD的磁盘组构造可通常在大于150高斯或数百个高斯的标靶表面上产生静态磁场强度,以提供对等离子体的约束、理想的腐蚀轮廓和高标靶利用率。将标靶表面处的静态磁场强度降低约5-10高斯可消除这种约束效应。根据本发明的一些实施例,IPVD系统500中的磁体设备535可被忽略。
在各种实施例中,可测量一个或多个制程参数并将其与想得到的制程参数对比以控制IPVD系统500中一个或多个部件的操作。被测量的性能可用来改变一个或多个制程参数,如DC开启时间、成形等离子体制程时间、DC关闭时间、DC功率、ICP功率、背侧气压、衬底保持器温度、衬底温度、制程气体流量、制程腔室气压和金属铜沉积比率。
应该理解:图5所描绘的IPVD系统仅仅为了示例性目的,如具体硬件的许多更换可用于实现本发明的实施例可在其中被实践的等离子体制程系统,而且这些更换对于本领域普通技术人员是特别明显的。可替换地,等离子制程系统的其它类型可用于保形沉积金属铜种层。在一个例子中,在电容耦合等离子体(CCP)系统中,金属铜标靶形成上电极,以及其上设置衬底的衬底保持器形成下电极。
在各种实施例中,公示了一种用于控制衬底上的铜聚集和用于在凹入特征上沉积光滑金属铜种层和使用无空隙块状金属铜填充该凹入特征的方法。本发明实施例的以上描述出于图示和描述的目的而被介绍。这并不意为是全面的或将本发明限制为所公示的具体构成。该描述和下面的权利要求书并不理解为限制,而该权利要求书包括仅被用作描述目的的术语。举例来说,如本文(包括权利要求书)使用的术语“上”并不要求衬底“上”的膜直接在其上和直接接触衬底;膜和衬底之间可有第二膜或其它结构。
相关领域的技术人员可以理解,鉴于上面的教导,许多修改和更换是可行的。本领域技术人员可构思出图中所示的各种部件的各种等同结合和替换物。因此本发明的范围并不意在受到具体描述的限制,而是仅由所附权利要求界定。
Claims (20)
1.一种用于控制衬底上铜聚集的方法,所述方法包括:
提供具有图案的衬底,所述图案包括上表面和至少一个凹入特征,所述凹入特征至少包括侧壁表面和下表面;
在所述衬底图案上沉积屏蔽膜;
在所述屏蔽膜上沉积含金属润湿膜;并且
通过物理气象沉积法在所述含金属润湿膜上沉积金属铜,其中,所述含金属润湿膜可阻止所述金属铜聚集,及其中所述衬底温度足够高,由此使得所述金属铜在所述含金属润湿膜上形成光滑的金属铜种层。
2.如权利要求1所述的方法,其中,所述含金属润湿膜包含金属钌(Ru)、金属钯(Pd)、金属铑(Rh)、或金属银(Ag)、或还包含氮、氧、碳、硼或磷的Ru、Pd、Rh或Ag的化合物。
3.如权利要求1所述的方法,其中,所述光滑金属铜种层连续涂覆所述含金属润湿膜。
4.权利要求1所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下对所述含金属润湿膜进行热处理。
5.权利要求1所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下对所述金属铜种层进行热处理。
6.如权利要求1所述的方法,其中,所述屏蔽膜包括氮化钽、氮化钛、氮化钨、氮化硅钽、氮化硅钛、或氮化硅钨、或它们的混合物。
7.如权利要求1所述的方法,其中,所述衬底温度大于0℃。
8.如权利要求1所述的方法,其中,所述至少一个凹入特征形成在介电材料上。
9.一种加工衬底的方法,所述方法包括:
提供具有图案的衬底,所述图案包括上表面和至少一个凹入特征,所述凹入特征至少包括侧壁表面和下表面;
在所述衬底图案上沉积屏蔽膜,所述至少一个凹入特征中所述屏蔽膜的厚度介于约1nm至约10nm之间;
在所述屏蔽膜上沉积含金属润湿膜,所述含金属润湿膜包含金属钌(Ru)、金属钯(Pd)、金属铑(Rh)、金属银(Ag)、或还包含氮、氧、碳、硼或磷的Ru、Pd、Rh或Ag的化合物;
通过物理气象沉积法在所述含金属润湿膜上沉积金属铜,其中所述含金属润湿膜可阻止所述金属铜的聚集,及其中所述衬底温度大于0℃,并使所述金属铜在所述含金属润湿膜上形成光滑金属铜种层;并且
在所述至少一个凹入特征中电镀无空隙块状金属铜。
10.如权利要求9所述的方法,其中所述电镀填充或过渡填充所述至少一个凹入特征。
11.权利要求9所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下,对所述含金属润湿膜进行热处理。
12.权利要求9所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下,对所述金属铜种层进行热处理。
13.如权利要求9所述的方法,其中所述屏蔽膜包括氮化钽、氮化钛、氮化钨、氮化硅钽、氮化硅钛、或氮化硅钨、或它们的混合物。
14.如权利要求9所述的方法,其中,所述光滑金属铜种层连续涂覆所述含金属润湿膜。
15.一种加工衬底的方法,所述方法包括:
提供具有图案的衬底,所述图案包括上表面和至少一个凹入特征,所述凹入特征至少包括侧壁表面和下表面,所述至少一个凹入特征包括过孔、沟槽、或其结合体;
在包含所述至少一个凹入特征的所述衬底图案上沉积屏蔽膜,所述一个或多个凹入特征中的所述屏蔽膜的厚度介于约1nm至约10nm之间;
通过利用前体Ru3(CO)12和载气CO的化学汽相沉积法在所述屏蔽膜上沉积金属钌润湿膜,在所述一个或多个凹入特征中所述金属钌润湿膜的厚度介于约0.1nm至约5nm之间;
通过物理气象沉积法在所述金属钌润湿膜上沉积金属铜,其中所述含金属润湿膜可阻止所述金属铜的聚集,及其中所述衬底温度大于0℃,并使所述金属铜在所述凹入特征中于金属钌膜上形成厚度介于0.1nm至5nm之间的光滑金属铜种层;并且
在所述至少一个凹入特征中电镀无空隙块状金属铜,其中所述电镀填充或过渡填充所述至少一个凹入特征。
16.权利要求15所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下,对所述金属润湿膜进行热处理。
17.权利要求15所述的方法,还包括:
在存在惰性气体、H2气体、或H2气体与惰性气体的混合物的情况下,在介于约100℃与400℃之间的温度下,对所述金属铜种层进行热处理。
18.如权利要求15所述的方法,其中所述屏蔽膜包括氮化钽、氮化钛、氮化钨、氮化硅钽、氮化硅钛、或氮化硅钨、或它们的混合物。
19.如权利要求15所述的方法,其中,所述光滑金属铜种层连续涂覆所述金属钌润湿膜。
20.如权利要求15所述的方法,其中,所述凹入特征的宽度约为100nm或更小,及其纵横比大于2∶1。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/044,191 | 2008-03-07 | ||
US12/044,191 US8247030B2 (en) | 2008-03-07 | 2008-03-07 | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
PCT/IB2009/050910 WO2009109934A1 (en) | 2008-03-07 | 2009-03-05 | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101965636A true CN101965636A (zh) | 2011-02-02 |
Family
ID=40765559
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009801080320A Pending CN101965636A (zh) | 2008-03-07 | 2009-03-05 | 使用光滑无聚集的铜种层进行凹入特征的无空隙填充 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8247030B2 (zh) |
JP (1) | JP5702154B2 (zh) |
KR (1) | KR101553424B1 (zh) |
CN (1) | CN101965636A (zh) |
TW (1) | TWI545653B (zh) |
WO (1) | WO2009109934A1 (zh) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI550800B (zh) * | 2013-11-11 | 2016-09-21 | 力成科技股份有限公司 | 具強固型晶背凸塊之矽穿孔結構 |
CN106575626A (zh) * | 2014-08-27 | 2017-04-19 | 雅达公司 | 改进的硅通孔 |
CN110690166A (zh) * | 2019-10-31 | 2020-01-14 | 上海华力集成电路制造有限公司 | 接触孔结构的形成方法及该接触孔结构 |
CN110752183A (zh) * | 2019-10-31 | 2020-02-04 | 上海华力集成电路制造有限公司 | 接触孔结构的形成方法及该接触孔结构 |
CN111834331A (zh) * | 2019-04-16 | 2020-10-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US12004342B2 (en) | 2021-02-09 | 2024-06-04 | Changxin Memory Technologies, Inc. | Method for manufacturing semiconductor structure and semiconductor structure |
Families Citing this family (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8076241B2 (en) * | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8661664B2 (en) * | 2010-07-19 | 2014-03-04 | International Business Machines Corporation | Techniques for forming narrow copper filled vias having improved conductivity |
JP5392215B2 (ja) * | 2010-09-28 | 2014-01-22 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US9142508B2 (en) | 2011-06-27 | 2015-09-22 | Tessera, Inc. | Single exposure in multi-damascene process |
KR20130056014A (ko) * | 2011-11-21 | 2013-05-29 | 삼성전자주식회사 | 듀얼 다마신 배선 구조체를 포함하는 반도체 소자 |
US8754531B2 (en) * | 2012-03-14 | 2014-06-17 | Nanya Technology Corp. | Through-silicon via with a non-continuous dielectric layer |
US9269615B2 (en) * | 2012-07-20 | 2016-02-23 | Globalfoundries Inc. | Multi-layer barrier layer for interconnect structure |
US8772158B2 (en) | 2012-07-20 | 2014-07-08 | Globalfoundries Inc. | Multi-layer barrier layer stacks for interconnect structures |
US20140134351A1 (en) * | 2012-11-09 | 2014-05-15 | Applied Materials, Inc. | Method to deposit cvd ruthenium |
JP6013901B2 (ja) * | 2012-12-20 | 2016-10-25 | 東京エレクトロン株式会社 | Cu配線の形成方法 |
US9558997B2 (en) * | 2012-12-28 | 2017-01-31 | Globalfoundries Inc. | Integration of Ru wet etch and CMP for beol interconnects with Ru layer |
US8859419B2 (en) * | 2013-02-01 | 2014-10-14 | Globalfoundries Inc. | Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device |
KR20140104778A (ko) | 2013-02-21 | 2014-08-29 | 삼성전자주식회사 | 관통전극을 갖는 반도체 소자의 제조방법 |
JP6257217B2 (ja) | 2013-08-22 | 2018-01-10 | 東京エレクトロン株式会社 | Cu配線構造の形成方法 |
TWI649803B (zh) * | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
US9397040B2 (en) | 2014-03-07 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device comprising metal plug having substantially convex bottom surface |
US9646854B2 (en) * | 2014-03-28 | 2017-05-09 | Intel Corporation | Embedded circuit patterning feature selective electroless copper plating |
US9595464B2 (en) | 2014-07-19 | 2017-03-14 | Applied Materials, Inc. | Apparatus and method for reducing substrate sliding in process chambers |
US9349691B2 (en) | 2014-07-24 | 2016-05-24 | International Business Machines Corporation | Semiconductor device with reduced via resistance |
TWI567919B (zh) * | 2014-08-29 | 2017-01-21 | 烏翠泰克股份有限公司 | 經改良之直通矽貫穿孔 |
KR102321209B1 (ko) * | 2014-11-03 | 2021-11-02 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
WO2017009948A1 (ja) * | 2015-07-14 | 2017-01-19 | リサーチ コーオペレーション ファウンデーション オブ ヨンナム ユニバーシティ | 原子層蒸着法によるルテニウム薄膜の形成方法 |
WO2017009947A1 (ja) * | 2015-07-14 | 2017-01-19 | リサーチ コーオペレーション ファウンデーション オブ ヨンナム ユニバーシティ | 原子層蒸着法によるルテニウム薄膜の形成方法 |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
WO2017099770A1 (en) * | 2015-12-09 | 2017-06-15 | Intel Corporation | Semiconductor devices having ruthenium phosphorus thin films |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9837312B1 (en) * | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10103056B2 (en) * | 2017-03-08 | 2018-10-16 | Lam Research Corporation | Methods for wet metal seed deposition for bottom up gapfill of features |
TWI773839B (zh) | 2017-10-14 | 2022-08-11 | 美商應用材料股份有限公司 | 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成 |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
WO2020222853A1 (en) | 2019-05-01 | 2020-11-05 | Lam Research Corporation | Modulated atomic layer deposition |
US11152325B2 (en) * | 2019-08-22 | 2021-10-19 | Cree, Inc. | Contact and die attach metallization for silicon carbide based devices and related methods of sputtering eutectic alloys |
Family Cites Families (74)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4851895A (en) | 1985-05-06 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Metallization for integrated devices |
US4929468A (en) | 1988-03-18 | 1990-05-29 | The United States Of America As Represented By The United States Department Of Energy | Formation of amorphous metal alloys by chemical vapor deposition |
US4938999A (en) | 1988-07-11 | 1990-07-03 | Jenkin William C | Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl |
US5171610A (en) | 1990-08-28 | 1992-12-15 | The Regents Of The University Of Calif. | Low temperature photochemical vapor deposition of alloy and mixed metal oxide films |
US5314727A (en) | 1992-07-28 | 1994-05-24 | Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota | Chemical vapor deposition of iron, ruthenium, and osmium |
US5359787A (en) | 1993-04-16 | 1994-11-01 | Air Products And Chemicals, Inc. | High purity bulk chemical delivery system |
JPH1064902A (ja) | 1996-07-12 | 1998-03-06 | Applied Materials Inc | アルミニウム材料の成膜方法及び成膜装置 |
CA2206217C (en) | 1997-05-27 | 2003-01-07 | Miroslav Milinkovic | Nickel carbonyl vapour deposition process |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US6287435B1 (en) | 1998-05-06 | 2001-09-11 | Tokyo Electron Limited | Method and apparatus for ionized physical vapor deposition |
US6074945A (en) | 1998-08-27 | 2000-06-13 | Micron Technology, Inc. | Methods for preparing ruthenium metal films |
US6063705A (en) | 1998-08-27 | 2000-05-16 | Micron Technology, Inc. | Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide |
CA2307036C (en) | 1998-11-03 | 2003-05-27 | Chemical Vapour Deposition Systems Inc. | Nickel carbonyl vapour deposition apparatus and process |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6232230B1 (en) | 1999-01-05 | 2001-05-15 | Advanced Micro Devices, Inc. | Semiconductor interconnect interface processing by high temperature deposition |
US6319832B1 (en) | 1999-02-19 | 2001-11-20 | Micron Technology, Inc. | Methods of making semiconductor devices |
US6627542B1 (en) | 1999-07-12 | 2003-09-30 | Applied Materials, Inc. | Continuous, non-agglomerated adhesion of a seed layer to a barrier layer |
JP2001144089A (ja) * | 1999-11-11 | 2001-05-25 | Sony Corp | 半導体装置の製造方法 |
US6399486B1 (en) | 1999-11-22 | 2002-06-04 | Taiwan Semiconductor Manufacturing Company | Method of improved copper gap fill |
US6303809B1 (en) | 1999-12-10 | 2001-10-16 | Yun Chi | Organometallic ruthenium and osmium source reagents for chemical vapor deposition |
JP2002053971A (ja) * | 2000-08-03 | 2002-02-19 | Sony Corp | めっき方法及びめっき構造、並びに半導体装置の製造方法及び半導体装置 |
US6440495B1 (en) | 2000-08-03 | 2002-08-27 | Applied Materials, Inc. | Chemical vapor deposition of ruthenium films for metal electrode applications |
JP2002076000A (ja) * | 2000-09-05 | 2002-03-15 | Sony Corp | 半導体装置の製造方法 |
US6444263B1 (en) | 2000-09-15 | 2002-09-03 | Cvc Products, Inc. | Method of chemical-vapor deposition of a material |
US6508919B1 (en) | 2000-11-28 | 2003-01-21 | Tokyo Electron Limited | Optimized liners for dual damascene metal wiring |
US6440854B1 (en) | 2001-02-02 | 2002-08-27 | Novellus Systems, Inc. | Anti-agglomeration of copper seed layers in integrated circuit metalization |
JP4065670B2 (ja) * | 2001-08-09 | 2008-03-26 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
KR100727372B1 (ko) | 2001-09-12 | 2007-06-12 | 토소가부시키가이샤 | 루테늄착체, 그 제조방법 및 박막의 제조방법 |
US6420583B1 (en) | 2001-09-27 | 2002-07-16 | Praxair Technology, Inc | Methods of synthesizing ruthenium and osmium compounds |
KR100805843B1 (ko) * | 2001-12-28 | 2008-02-21 | 에이에스엠지니텍코리아 주식회사 | 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템 |
US6713373B1 (en) | 2002-02-05 | 2004-03-30 | Novellus Systems, Inc. | Method for obtaining adhesion for device manufacture |
US7294567B2 (en) | 2002-03-11 | 2007-11-13 | Micron Technology, Inc. | Semiconductor contact device and method |
US7115498B1 (en) | 2002-04-16 | 2006-10-03 | Advanced Micro Devices, Inc. | Method of ultra-low energy ion implantation to form alloy layers in copper |
US7910165B2 (en) | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7264846B2 (en) | 2002-06-04 | 2007-09-04 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
DE20221990U1 (de) | 2002-06-06 | 2010-06-10 | Varta Microbattery Gmbh | Galvanisches Element |
KR100482180B1 (ko) | 2002-12-16 | 2005-04-14 | 동부아남반도체 주식회사 | 반도체 소자 제조방법 |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
US6989321B2 (en) | 2003-09-30 | 2006-01-24 | Tokyo Electron Limited | Low-pressure deposition of metal layers from metal-carbonyl precursors |
US7107998B2 (en) | 2003-10-16 | 2006-09-19 | Novellus Systems, Inc. | Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus |
JP4154729B2 (ja) * | 2003-11-12 | 2008-09-24 | Jsr株式会社 | 導電性積層膜およびその導電性積層膜形成方法 |
US20050110142A1 (en) | 2003-11-26 | 2005-05-26 | Lane Michael W. | Diffusion barriers formed by low temperature deposition |
US7285308B2 (en) | 2004-02-23 | 2007-10-23 | Advanced Technology Materials, Inc. | Chemical vapor deposition of high conductivity, adherent thin films of ruthenium |
JP3792239B2 (ja) | 2004-08-02 | 2006-07-05 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US7476618B2 (en) * | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
JP2006128288A (ja) | 2004-10-27 | 2006-05-18 | Tokyo Electron Ltd | 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体 |
US7279421B2 (en) | 2004-11-23 | 2007-10-09 | Tokyo Electron Limited | Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors |
US7270848B2 (en) | 2004-11-23 | 2007-09-18 | Tokyo Electron Limited | Method for increasing deposition rates of metal layers from metal-carbonyl precursors |
US7638002B2 (en) | 2004-11-29 | 2009-12-29 | Tokyo Electron Limited | Multi-tray film precursor evaporation system and thin film deposition system incorporating same |
US20060113675A1 (en) | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
JP2006179599A (ja) | 2004-12-21 | 2006-07-06 | Toshiba Corp | 半導体装置およびその製造方法 |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
JP4889227B2 (ja) * | 2005-03-23 | 2012-03-07 | 東京エレクトロン株式会社 | 基板処理方法および成膜方法 |
US7396766B2 (en) | 2005-03-31 | 2008-07-08 | Tokyo Electron Limited | Low-temperature chemical vapor deposition of low-resistivity ruthenium layers |
US7288479B2 (en) * | 2005-03-31 | 2007-10-30 | Tokyo Electron Limited | Method for forming a barrier/seed layer for copper metallization |
US20070059502A1 (en) * | 2005-05-05 | 2007-03-15 | Applied Materials, Inc. | Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer |
US7396755B2 (en) | 2005-05-11 | 2008-07-08 | Texas Instruments Incorporated | Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer |
US7402519B2 (en) | 2005-06-03 | 2008-07-22 | Intel Corporation | Interconnects having sealing structures to enable selective metal capping layers |
US7713876B2 (en) | 2005-09-28 | 2010-05-11 | Tokyo Electron Limited | Method for integrating a ruthenium layer with bulk copper in copper metallization |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
KR100729933B1 (ko) | 2005-12-19 | 2007-06-18 | 동부일렉트로닉스 주식회사 | 구리 시드층의 증착 온도 측정 방법 및 이를 이용한 구리층형성 방법 |
US20070237895A1 (en) | 2006-03-30 | 2007-10-11 | Tokyo Electron Limited | Method and system for initiating a deposition process utilizing a metal carbonyl precursor |
US7439624B2 (en) | 2006-05-18 | 2008-10-21 | International Business Machines Corporation | Enhanced mechanical strength via contacts |
TW200743676A (en) | 2006-05-30 | 2007-12-01 | Jinn P Chu | Copper seed layer for barrier-free metallization and the method for making the same |
US7694413B2 (en) | 2006-06-30 | 2010-04-13 | Intel Corporation | Method of making a bottomless via |
JP2008016697A (ja) * | 2006-07-07 | 2008-01-24 | Renesas Technology Corp | 半導体装置の製造方法 |
US20080081464A1 (en) | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Method of integrated substrated processing using a hot filament hydrogen radical souce |
US20080190760A1 (en) * | 2007-02-08 | 2008-08-14 | Applied Materials, Inc. | Resputtered copper seed layer |
US7659204B2 (en) | 2007-03-26 | 2010-02-09 | Applied Materials, Inc. | Oxidized barrier layer |
US20080264774A1 (en) | 2007-04-25 | 2008-10-30 | Semitool, Inc. | Method for electrochemically depositing metal onto a microelectronic workpiece |
US7592257B2 (en) | 2007-05-14 | 2009-09-22 | Tokyo Electron Limited | Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming |
US7704879B2 (en) | 2007-09-27 | 2010-04-27 | Tokyo Electron Limited | Method of forming low-resistivity recessed features in copper metallization |
US7772110B2 (en) | 2007-09-28 | 2010-08-10 | Tokyo Electron Limited | Electrical contacts for integrated circuits and methods of forming using gas cluster ion beam processing |
-
2008
- 2008-03-07 US US12/044,191 patent/US8247030B2/en active Active
-
2009
- 2009-03-05 CN CN2009801080320A patent/CN101965636A/zh active Pending
- 2009-03-05 JP JP2010549242A patent/JP5702154B2/ja active Active
- 2009-03-05 WO PCT/IB2009/050910 patent/WO2009109934A1/en active Application Filing
- 2009-03-05 KR KR1020107022362A patent/KR101553424B1/ko active IP Right Grant
- 2009-03-06 TW TW098107311A patent/TWI545653B/zh active
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI550800B (zh) * | 2013-11-11 | 2016-09-21 | 力成科技股份有限公司 | 具強固型晶背凸塊之矽穿孔結構 |
CN106575626A (zh) * | 2014-08-27 | 2017-04-19 | 雅达公司 | 改进的硅通孔 |
CN111834331A (zh) * | 2019-04-16 | 2020-10-27 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN111834331B (zh) * | 2019-04-16 | 2022-09-13 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN110690166A (zh) * | 2019-10-31 | 2020-01-14 | 上海华力集成电路制造有限公司 | 接触孔结构的形成方法及该接触孔结构 |
CN110752183A (zh) * | 2019-10-31 | 2020-02-04 | 上海华力集成电路制造有限公司 | 接触孔结构的形成方法及该接触孔结构 |
US12004342B2 (en) | 2021-02-09 | 2024-06-04 | Changxin Memory Technologies, Inc. | Method for manufacturing semiconductor structure and semiconductor structure |
Also Published As
Publication number | Publication date |
---|---|
TW200947559A (en) | 2009-11-16 |
US20090226611A1 (en) | 2009-09-10 |
KR101553424B1 (ko) | 2015-09-15 |
JP5702154B2 (ja) | 2015-04-15 |
US8247030B2 (en) | 2012-08-21 |
WO2009109934A1 (en) | 2009-09-11 |
KR20100124807A (ko) | 2010-11-29 |
TWI545653B (zh) | 2016-08-11 |
JP2011513983A (ja) | 2011-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101965636A (zh) | 使用光滑无聚集的铜种层进行凹入特征的无空隙填充 | |
KR102189781B1 (ko) | 망간 및 망간 니트라이드들의 증착 방법들 | |
US7799674B2 (en) | Ruthenium alloy film for copper interconnects | |
US7425506B1 (en) | Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films | |
US9748105B2 (en) | Tungsten deposition with tungsten hexafluoride (WF6) etchback | |
US7884012B2 (en) | Void-free copper filling of recessed features for semiconductor devices | |
US8617982B2 (en) | Subtractive patterning to define circuit components | |
US10784157B2 (en) | Doped tantalum nitride for copper barrier applications | |
US7704879B2 (en) | Method of forming low-resistivity recessed features in copper metallization | |
US9076661B2 (en) | Methods for manganese nitride integration | |
CN102859035A (zh) | 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法 | |
KR20050074977A (ko) | 구리 확산 배리어의 형성 | |
US20240249977A1 (en) | Metal adhesion layer to promote metal plug adhesion | |
TWI609095B (zh) | 用於氮化錳整合之方法 | |
JP2002329682A (ja) | Cu薄膜作製方法 | |
WO2023033901A1 (en) | Method of forming a metal liner for interconnect structures | |
US7041582B2 (en) | Method of manufacturing semiconductor device | |
US20230299002A1 (en) | Semiconductor devices and methods of formation | |
KR20020001143A (ko) | 반도체 소자의 구리 금속배선 형성 방법 | |
KR20090113621A (ko) | 증착 및 식각을 통한 반도체 소자의 금속배선 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C12 | Rejection of a patent application after its publication | ||
RJ01 | Rejection of invention patent application after publication |
Application publication date: 20110202 |