TWI545653B - 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充 - Google Patents

利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充 Download PDF

Info

Publication number
TWI545653B
TWI545653B TW098107311A TW98107311A TWI545653B TW I545653 B TWI545653 B TW I545653B TW 098107311 A TW098107311 A TW 098107311A TW 98107311 A TW98107311 A TW 98107311A TW I545653 B TWI545653 B TW I545653B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
copper metal
copper
film
Prior art date
Application number
TW098107311A
Other languages
English (en)
Other versions
TW200947559A (en
Inventor
鈴木健二
五味淳
喬曼美穗
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW200947559A publication Critical patent/TW200947559A/zh
Application granted granted Critical
Publication of TWI545653B publication Critical patent/TWI545653B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充 【相關申請案之交互參照】
本發明係關於美國專利申請案第11/693298號(代理人案號TTCA-178),申請於2007年3月29日,以及其標題為「METHOD OF FORMING LOW-RESISTIVITY COPPER FILM STRUCTURE」。本發明係關於美國專利申請案第11/864566號(代理人案號TTCA-229),申請於2007年9月28日,以及其標題為「VOID-FREE COPPER FILLING OF RECESSED FEATURES FOR SEMICONDUCTOR DEVICES」。這些申請案的整體內容皆藉由參考文獻方式合併於此。
本發明係關於半導體裝置的製造,尤其係關於基板上之銅凝聚控制以及用於積體電路中之凹陷特徵部之無孔隙塊狀銅金屬(Cu)填充的處理方法。
積體電路(IC,integrated circuit)包含各種半導體裝置以及複數導電金屬路徑,此金屬路徑可將電力提供至半導體裝置並且允許半導體裝置分享及交換資訊。在積體電路內,金屬層係使用與金屬層互相絶緣的金屬間或層間介電層而堆疊在彼此的頂部。通常,每一金屬層必須形成對至少一額外金屬層的電接點。吾人可藉由在用以隔開金屬層的層間介電質中蝕刻孔洞(即穿孔),並且以金屬來填充所產生的穿孔而產生互連結構,以實現此種電接點。「穿孔」通常係指任何的微特徵部,例如形成在介電層內的孔洞、線或其他類似特徵部,其可提供穿過介電層到位於介電層下方之導電層的電連接。又,含有連接兩個以上穿孔之金屬層的微特徵部通常稱為溝渠。
在積體電路(IC)技術持續進展下的長期認知目標為積體電路尺寸的尺度縮小。此種積體電路尺寸的尺度縮小會減少面積電容,並且為獲得更高積體電路速度性能的關鍵。此外,減少積體電路晶片的面積會造成積體電路製造的較高產量。這些優點係持續尺度縮小積體電路尺寸的驅動力。裝置面積的減少或裝置密度的增加通常會伴隨裝置性能的增加。裝置密度的增加需要用以形成互連且包含較大縱橫比(即深度比上寬度的比值)之穿孔尺寸的減少。由於圖案化基板(晶圓)上的最小特徵尺寸不斷地減少,所以此種下降尺度的數個結果會變得明顯易見。由於將金屬線的寬度進行尺度縮小至更小的次微米以及甚至奈米的尺寸,所以吾人難以用塊狀金屬來填充小特徵部,而導致在進行塊狀金屬填充時形成孔隙以及電遷移(EM,electromigration)失效,此會導致斷開並擠出的銅金屬線。
藉由於其中塊狀銅金屬被用以填充穿孔及/或溝渠,以及化學機械研磨(CMP,chemical mechanical polishing)製程被執行以使塊狀銅金屬平整的金屬鑲嵌銅金屬電鍍製程,吾人可將銅金屬導入用以製造積體電路的多層金屬化方法。銅金屬電鍍製程目前被先進微處理器以及特殊應用電路的製造商所廣泛使用。然而,銅金屬無法與介電材料直接接觸,因為銅金屬對介電材料具有較差的黏著性,並且銅金屬被知悉容易擴散到例如矽的一般積體電路材料以及於其中銅為中能帶隙不純物(mid-bandgap impurity)的介電材料內。再者,氧可從含氧介電材料擴散到銅金屬內,因而降低銅金屬的導電性。因此,擴散阻障材料被形成在介電材料或積體電路中的其他材料上,以包圍銅金屬並且防止銅金屬擴散到積體電路材料內。氮化鉭/鉭(TaN/Ta)雙層通常被使用作為用於銅金屬化的擴散阻障/黏著層,因為氮化鉭阻障膜對氧化物可產生良好的黏著且可對銅擴散提供良好的阻障,而鉭黏著層可對於其上形成其的氮化鉭以及形成在其上方的銅金屬提供良好的接合。然而,鉭黏著層易於氧化,此會降低其銅潤溼性。
在互連結構上的銅金屬電鍍通常需要晶核或晶種層(例如銅金屬晶種層),其在銅金屬電鍍之前被沉積在基板表面形狀(topography)上方。然而,吾人熟知當銅金屬晶種層在室溫下被沉積在鉭以及許多其他材料上時會發生銅金屬凝聚。銅金屬凝聚會造成不連續的銅金屬晶種層。銅金屬凝聚係有害於後續銅金屬電鍍製程,並且經常在電鍍塊狀銅金屬中導致孔隙的形成。為了降低在鉭黏著層上的銅金屬凝聚,通常以低基板溫度來沉積銅金屬晶種層,例如-25℃、-30℃、或甚至更低的基板溫度,並且使用低溫靜電夾頭(ESC,electrostatic chuck)來支撐基板(晶圓)並使其維持在低基板溫度。然而,低基板溫度會使銅金屬層粗糙或不規則,並且無法消除鉭黏著層上的銅金屬凝聚以及電鍍塊狀銅金屬中的孔隙形成。
因此,亟需用以形成平滑未凝聚之銅金屬晶種層的處理方法,此可允許具有高縱橫比之小凹陷特徵部的無孔隙銅金屬填充。
提供一種可控制基板上之銅凝聚以及形成積體電路中之凹陷特徵部之無孔隙塊狀銅金屬填充的方法。此方法係利用含金屬潤溼膜,此含金屬潤溼膜可使平滑銅金屬晶種層的形成,以及具有高縱橫比之小凹陷特徵部的無孔隙銅金屬填充成為可能。
依照本發明之一種實施例,提供一種可控制基板上之銅凝聚的方法。此方法包含:設置具有包含一上表面以及至少一凹陷特徵部的表面形狀的基板,此凹陷特徵部包含至少一側壁表面以及一底部表面;在基板表面形狀上沉積阻障膜;在阻障膜上沉積含金屬潤溼膜;以及藉由物理氣相沉積,在含金屬潤溼膜上沉積銅金屬,其中含金屬潤溼膜防止銅金屬的凝聚,並且其中基板溫度係足夠高而使銅金屬在含金屬潤溼膜上形成平滑的銅金屬晶種層。
依照本發明之一種實施例,提供一種處理基板的方法。此方法包含:設置具有包含一上表面以及至少一凹陷特徵部的表面形狀的基板,此凹陷特徵部包含至少一側壁表面以及一底部表面;在基板表面形狀上沉積阻障膜;以及在阻障膜上沉積含金屬潤溼膜。此方法更包含在含金屬潤溼膜上物理氣相沉積銅金屬,其中含金屬潤溼膜可防止銅金屬的凝聚,並且其中基板溫度係大於0℃而使銅金屬在含金屬潤溼膜上形成平滑銅金屬晶種層;以及將無孔隙塊狀銅金屬鍍於至少一凹陷特徵部中。
提供一種可控制基板上之銅凝聚(agglomeration)並且提供積體電路中之凹陷特徵部之無孔隙塊狀銅金屬填充的方法。在一種實施例中,吾人可藉由下層含金屬潤溼膜(underlying metal-containing wetting film)來促進經由物理氣相沉積之位在凹陷特徵部中之平滑銅金屬晶種層的沉積。含金屬潤溼膜可含有金屬膜,此金屬膜可提供與上層銅金屬膜的小接觸角,藉以在含金屬潤溼膜上提供銅金屬的良好潤溼。含金屬潤溼膜可例如含有非晶體、多晶體、或結晶釕金屬(Ru)、鈀金屬(Pd)、銠金屬(Rh)、或銀金屬(Ag)、或其組合。在其他範例中,含金屬潤溼膜可例如含有這些金屬與氮、氧、碳、硼、或磷的化合物。本發明之實施例所提出的處理方法可適用在使用例如200mm、300mm、或甚至更大直徑基板之大圖案化基板(晶圓)的大量半導體裝置製造時的次微米凹陷特徵部的無孔隙塊狀銅金屬填充。
熟習相關技術者可瞭解在不具有一或更多具體細節,或具有其他替代及/或額外方法、材料、或成分的情況下,可實施各種實施例。在其他情況下,將不詳細顯示或說明熟知的結構或操作,以避免混淆本發明之各種實施例的實施樣態。又,為了說明之目的,將提出具體的數量以及構造,以提供對本發明的整體瞭解。然而,本發明可在不具有具體細節的情況下被加以實施。再者,吾人可瞭解圖式所顯示的各種實施例為例示性的圖像並不必依照尺寸來繪製。
參照整個此說明書的「一種實施例」或「一實施例」係指與實施例結合所述的特定特徵部、結構、材料、或特性被包含在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,出現在整個此說明書之各種位置的詞組「在一種實施例中」或「在一實施例中」不必被歸類為本發明的同一實施例。再者,特定特徵部、結構、或特性可以適當的方式結合在一或更多實施例中。在其他實施例中,可包含各種額外的層及/或結構及/或可省略已說明的特徵部。
各種操作將以最有助於對本發明整體瞭解的方式依序進行說明如多個分離的操作。然而,說明的順序不應被理解為暗示這些操作必須依照順序。尤其,這些操作不必依照描述的順序來執行。已說明的操作可以不同於已說明之實施例的順序被加以執行。在額外實施例中,各種額外的操作可被執行及/或可省略已說明的操作。
當銅金屬被沉積在IC金屬化中的典型阻障膜(例如鉭或氮化鉭)上時,其傾向於凝聚。銅金屬在阻障膜上的行為可藉由位在銅金屬與阻障膜之界面的表面力之平衡來加以判定。在鉭或氮化鉭阻障膜的情況下,即使銅金屬被保角地沉積,但由於銅金屬在阻障膜上的熱力學緣故,其會在沉積並形成具有位於銅金屬晶種層中露出阻障膜之空隙的非連續凝聚銅金屬晶種層之後產生凝聚。以其純元素形態存在的銅在實現物理氣相沉積的典型溫度下極易移動,因此可非常快地達到有利於熱力學的狀態。為了降低在鉭或氮化鉭阻障膜上的銅金屬凝聚,銅金屬晶種層典型上係以低或非常低的基板溫度來進行沉積,此基板溫度包含0℃以下的溫度,例如-25℃、-30℃、-50℃、或甚至更低的基板溫度。雖然在此種低以及非常低的基板溫度下降低銅金屬凝聚,但銅金屬晶種層不會提供平滑之阻障膜的銅金屬塗層。
本發明之實施例說明用以在基板上控制銅凝聚以及用以在凹陷特徵部上方沉積平滑銅金屬晶種層並且以無孔隙塊狀銅金屬來填充凹陷特徵部的處理方法。在一種實施例中,平滑銅金屬晶種層的沉積可藉由下層含金屬潤溼膜而進行。本案發明人已瞭解平滑的銅金屬晶種層可在相當高的基板溫度下,藉由物理氣相沉積而沉積在含金屬潤溼膜上。由於此明顯不同於習知技術,所以無法從習知技術加以預期,如上所述,銅金屬晶種層典型上已在非常低的基板溫度(包含0℃以下的溫度,例如-25℃、-30℃、-50℃、或甚至更低的基板溫度)下進行沉積,以降低發生在較高基板溫度的銅金屬晶種層凝聚。
圖6A與6B顯示藉由物理氣相沉積以不同基板溫度而沉積在釕金屬潤溼膜上之銅金屬晶種層的掃瞄式電子顯微(SEM,scanning electron microscope)橫剖面照片。圖6B顯示在具有約100nm(奈米)寬度以及~4:1-5:1縱橫比的凹陷特徵部610中之位於釕金屬潤溼膜上的銅金屬沉積,以30℃的基板溫度來形成平滑的銅金屬晶種層。銅金屬晶種層與釕金屬潤溼膜的結合係以箭頭612加以標示。釕金屬潤溼膜係以約2nm的厚度而呈保角,而銅金屬晶種層在特徵部610中具有約1-2nm的厚度,以及在圍繞特徵部610的場區域內具有約20nm的厚度。後續使用典型銅電鍍製程之凹陷特徵部610的塊狀銅金屬填充(無圖示)可完成凹陷特徵部610的無孔隙填充。吾人可考慮到平滑的銅金屬晶種層可促進緻密的銅金屬成核,因此減少在凹陷特徵部610之塊狀銅金屬填充中的孔隙。
為了比較,如圖6A所示,當銅金屬以-30℃的基板溫度被沉積在位於凹陷特徵部610中的釕金屬潤溼膜上,並且為了分析而隨後被加溫至大約室溫時,可在釕金屬潤溼膜上觀察到非連續的銅金屬晶種層。銅金屬晶種層與釕金屬潤溼膜的結合係以箭頭602加以標示。後續使用銅電鍍製程之凹陷特徵部600的塊狀銅金屬填充會在塊狀銅金屬填充物中造成孔隙(無圖示)。熟習本項技藝者已熟知在塊狀銅金屬填充物中存在孔隙時會增加半導體裝置的電阻,並且會具有其他有害的影響,例如電遷移(EM,electromigration)失效,此會導致開啟並擠壓銅金屬線。
雖然不希望受到理論的束縛,但吾人可考慮到較高的基板溫度(舉例來說高於0℃,例如30℃)會導致在釕金屬潤溼膜上之銅金屬的較高移動率(遷移),但由於釕金屬潤溼膜的良好潤溼性,平滑的銅金屬晶種層可被形成在釕金屬潤溼膜上。假使基板溫度太高時,例如高於200℃,會發生銅金屬晶種層的凝聚。為了進一步的比較,以-30℃以及30℃兩種基板溫度,在鉭阻障膜上形成非連續的粗糙銅金屬晶種層。此顯示在銅金屬物理氣相沉積期間,釕金屬潤溼膜與高基板溫度(例如高於0℃)的結合可進行平滑銅金屬晶種層的形成,此晶種層係用於存在於積體電路中之典型凹陷特徵部的後續無孔隙塊狀銅填充。
圖1A-1C概略顯示依照本發明之一實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的橫剖面圖。圖1A顯示形成在例如Si基板或介電材料之基板100內的凹陷特徵部1。此介電材料可例如含有SiO2 、例如氟化矽玻璃(FSG,fluorinated silicon glass)的低介電常數(low-k)介電材料、掺碳氧化物、高分子、含SiCOH的low-k材料、非多孔性low-k材料、多孔性low-k材料、化學氣相沉積low-k材料、旋塗式介電(SOD,spin-on dielectric)low-k材料、或任何其他合適的介電材料。圖1A所示之結構含有圍繞凹陷特徵部1的「場」區域105a,以及位於凹陷特徵部1中的側壁區域105b與底部區域105c。
依照本發明之一種實施例,凹陷特徵部1可具有大於或等於約2:1的縱橫比(深度/寬度),例如3:1、4:1、5:1、6:1、12:1、15:1、或更大。凹陷特徵部可具有約500nm以下的寬度,例如200nm、150nm、100nm、65nm、45nm、32nm、20nm、或更小。然而,本發明之實施例並不限於這些縱橫比或特徵部寬度,例如吾人可利用其他的縱橫比或特徵部寬度。又,本發明之實施例可被應用在具有其他形狀的凹陷特徵部。例如,這些其他凹陷特徵部形狀可包含「V」(凸狀)輪廓或凹腔(凹狀)輪廓。
圖1A進一步顯示形成在凹陷特徵部1上方的阻障膜102。阻障膜102可例如含有氮化金屬阻障膜102或氮化矽金屬阻障膜102。氮化金屬阻障膜的範例包含氮化鉭(TaN)、氮化鈦(TiN)、或氮化鎢(WN)、或其組合。此組合可包含兩個以上的分離TaN、TiN、以及WN膜,例如TaN/TiN或TaN/WN。氮化矽金屬阻障膜的範例可包含氮化矽鉭(TaSiN)、氮化矽鈦(TiSiN)、或氮化矽鎢(WSiN)。阻障膜102的厚度可例如為介於約1nm與約10nm之間,或介於約2nm與約5nm之間,例如約4nm。阻障膜102可藉由熟習本項技藝者所熟知的種種不同沉積方法來進行沉積,其包含但不限於化學氣相沉積(CVD,chemical vapor deposition)、脈衝式化學氣相沉積、電漿增強化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、電漿增強原子層沉積(PEALD,plasma-enhanced atomic layer deposition)、或濺鍍方法。依照本發明之一種實施例,阻障膜102可藉由非電漿製程來進行沉積,例如化學氣相沉積、脈衝式化學氣相沉積、或原子層沉積,以避免在處理期間的可能電漿損害。再者,非電漿製程通常比電漿製程能更佳地沉積連續膜,尤其對於含有高縱橫比結構的圖案化結構而言。
多樣化的含Ta、Ti、以及W前驅物可用於沉積阻障膜102。含Ta前驅物的代表性範例包含:Ta(NMe2 )5 (五(二甲醯胺基)鉭)(PDMAT,pentakis(dimethylamido)tantalum)、Ta(NEtMe)5 (五(甲乙醯胺基)鉭)(PEMAT,pentakis(ethylmethylamido)tantalum)、(t BuN)Ta(NMe2 )3 (第三丁亞胺基三(二甲醯胺基)鉭)(TBTDMT,tert-butylimido tris(dimethylamido)tantalum)、(t BuN)Ta(NEt2 )3 (第三丁亞胺基三(二乙醯胺基)鉭)(TBTDET,tert-butylimido tris(diethylamido)tantalum)、(t BuN)Ta(NEtMe)3 (第三丁亞胺基三(甲乙醯胺基)鉭)(TBTEMT,tert-butylimido tris(ethylmethylamido)tantalum)、(EtMe2 CN)Ta(NMe2 )3 (第三戊亞胺基三(二甲醯胺基)鉭)(TAIMATA,tert-amylimido tris(dimethylamido)tantalum)、(i PrN)Ta(Net2 )3 (異丙亞胺基三(二乙醯胺基)鉭)(IPTDET,iso-propylimido tris(diethylamido)tantalum)、Ta2 (OEt)10 (五乙氧基鉭)(TAETO,tantalum penta-ethoxide)、(Me2 NCH2 CH2 O)Ta(OEt)4 (二甲胺乙氧基四乙氧基鉭)(TATDMAE,dimethylaminoethoxy tantalum tetra-ethoxide)、以及TaCl5 (五氯化鉭)(tantalum pentachloride)。含Ti前驅物的代表性範例包含:Ti(NEt2 )4 (四(二乙醯胺基)鈦)(TDEAT,tetrakis(diethylamido)titanium)、Ti(NMeEt)4 (四(甲乙醯胺基)鈦)(TEMAT,tetrakis(ethylmethylamido)titanium)、Ti(NMe2 )4 (四(二甲醯胺基)鈦)(TDMAT,tetrakis(dimethylamido)titanium)、Ti(THD)3(三(2,2,6,6-四甲基-3,5-庚二酮酸)鈦)(tris(2,2,6,6-tetramethyl-3,5-heptanedionato)titanium)、以及TiCl4 (四氯化鈦)(titanium tetrachloride)。含W前驅物的代表性範例包含:W(CO)6 (六羰基鎢)(tungsten hexacarbonyl)、WF6 (六氟化鎢)(tungsten hexafluoride)、以及(t BuN)2 W(NMe2 )2 (雙(第三丁亞胺基)雙(二甲醯胺基)鎢)(BTBMW,bis(tert-butylimido)bis(dimethylamido)tungsten)。
在某些範例中,例如氨(NH3 )或聯氨(N2 H4 )的含氮氣體可在沉積阻障膜102時被使用作為氮的來源。可被使用作為矽之來源的含矽氣體的範例包含但不限於:矽烷(SiH4 )、二矽烷(Si2 H6 )、單氯矽烷(SiClH3 )、二氯矽烷(SiCl2 H2 )、三氯矽烷(SiCl3 H)、六氯二矽烷(Si2 Cl6 )、二乙基矽烷(Et2 SiH2 )、以及烷基胺基矽烷(alkylaminosilane)化合物。烷基胺基矽烷化合物的範例包含但不限於:雙(第三丁胺基)矽烷((C4 H9 (H)N)2 SiH2 )、四(二甲胺基)矽烷(Si(NMe2 )4 )、四(甲乙胺基)矽烷(Si(NEtMe)4 )、四(二乙胺基)矽烷(Si(NEt2 )4 )、三(二甲胺基)矽烷(HSi(NMe2 )3 )、三(甲乙胺基)矽烷(HSi(NEtMe)3 )、三(二乙胺基)矽烷(HSi(NEt2 )3 )、三(二甲肼基)矽烷(HSi(N(H)NMe2 )3 )、雙(二乙胺基)矽烷(H2 Si(NEt2 )2 )、雙(二異丙胺基)矽烷(H2 Si(Ni Pr2 )2 )、三(二異丙胺基)矽烷(HSi(Ni Pr2 )3 )、以及(二異丙胺基)矽烷(H3 Si(Ni Pr2 ))。在本發明之實施例中,使用下列縮寫:Me:甲基;Et:乙基;Pr:丙基;i Pr:異丙基;t Bu:第三丁基;Cp:環戊二烯基;THD:2,2,6,6-四甲基-3,5-庚二酮酸基。
圖1A依照本發明之實施例進一步顯示沉積在阻障膜102上的含金屬潤溼膜104。含金屬潤溼膜104能夠含有可對沉積在含金屬潤溼膜104上之銅金屬提供低接觸角的過渡金屬。含金屬潤溼膜104可例如含有非晶體、多晶體、或結晶釕金屬(Ru)、鈀金屬(Pd)、銠金屬(Rh)、銀金屬(Ag)、或其組合。在其他範例中,含金屬潤溼膜可例如含有這些金屬與氮(如RuN)、氧(如RuO2 )、碳(如RuC)、硼(如RuB)、或磷(如RuP)的化合物。含金屬潤溼膜104的厚度可例如介於約0.1nm與約5nm之間,或介於約0.5nm與約2nm之間,例如約1nm。含金屬潤溼膜104可藉由熟習本項技藝者所熟知的種種不同沉積方法來進行沉積,其包含但不限於化學氣相沉積、脈衝式化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積、或濺鍍方法。
在一範例中,含金屬潤溼膜104可為釕金屬潤溼膜104,其係藉由化學氣相沉積於約180℃的基板溫度下,利用Ru3 (CO)12 前驅物以及CO載氣而沉積在氮化鉭阻障膜102上。釕金屬潤溼膜104可帶著覆蓋高縱橫比結構上方的非常高連續性與厚度均勻度而沉積,並且可在沉積之後回火到較高的溫度。使用Ru3 (CO)12 前驅物以及CO載氣的示範釕金屬化學氣相沉積製程被敘述於美國專利第7270848號,其整體內容藉由參考文獻方式合併於此。在另一範例中,釕金屬潤溼膜104可藉由利用釕金屬有機前驅物的化學氣相沉積製程來加以沉積。示範性的釕金屬有機前驅物包含:(2,4-二甲基戊二烯)(乙基環戊二烯)釕(Ru(DMPD)EtCp)、雙(2,4-二甲基戊二烯)釕(Ru(DMPD)2 )、(4-二甲基戊二烯)(甲基環戊二烯)釕(Ru(DMPD)(MeCp))、雙(乙基環戊二烯)釕(Ru(EtCp)2 )、以及這些前驅物與其他前驅物的組合。用以沉積釕金屬潤溼膜104的其他範例包含使用固體釕金屬靶的濺鍍方法。
在一種範例中,含金屬潤溼膜可含有潤溼金屬(Ru、Pd、Rh、Ag)的化合物。舉例而言,含有釕的化合物可包含釕與氮、釕與氧、釕與碳、釕與硼、或釕與磷。這些化合物可藉由在化學氣相沉積製程期間,將含有氮(例如NH3 )、氧(例如H2 O)、碳(例如CH4 )、硼(例如BH3 )、或磷(例如PH3 )的額外氣體添加到含釕的處理氣體中而形成。
圖1B顯示依照本發明之一實施例之含有沉積在含金屬潤溼膜104上之平滑銅金屬晶種層106的凹陷特徵部。銅金屬晶種層106會以在場區域106a、側壁區域106b、以及底部區域106c上至少實質不具有空隙的方式來覆蓋含金屬潤溼膜104。依照本發明之一種實質例,平滑的銅金屬晶種層106可連續塗覆含金屬潤溼膜。
銅金屬晶種層106的厚度可例如介於約0.1nm與約5nm之間,或介於約0.5nm與約2nm之間,舉例而言約1nm。如圖1B所示,位在場區域106a上之銅金屬晶種層106的厚度可大於位在側壁區域106b或底部區域106c上的銅金屬晶種層厚度。
依照本發明之實施例,平滑的銅金屬晶種層106可藉由濺鍍方法,例如物理氣相沉積(PVD,physical vapor deposition)或離子化物理氣相沉積(IPVD,ionized physical vapor deposition),而沉積在含金屬潤溼膜104上。示範性的離子化物理氣相沉積系統被顯示在圖5以及美國專利第6287435號。在銅金屬沉積期間,基板被維持在足夠高以在含金屬潤溼膜104上形成平滑銅金屬晶種層106的基板溫度。依照本發明之一種實施例,基板溫度可大於0℃,例如約20℃、約30℃、或甚至更高。舉例來說,基板溫度可從0℃以上分佈至200℃、從10℃分佈至100℃、從20℃分佈至50℃、或從25℃分佈至40℃。
為了與圖1B比較,圖2A顯示含有非連續銅金屬晶種層的凹陷特徵部3,此晶種層係藉由物理氣相沉積或離子化物理氣相沉積,以-30℃的基板溫度沉積在含金屬潤溼膜104上。銅金屬晶種層108包含位於圍繞凹陷特徵部3之場區域上的銅金屬晶種層部分108a、位於凹陷特徵部3之底部區域中的銅金屬晶種層部分108b、以及位於凹陷特徵部3之側壁區域上的銅金屬晶種層部分108c。銅金屬晶種層部分108c包含於其中含金屬潤溼膜104不被銅金屬所覆蓋的空隙107。
往回參考圖1A-1C,圖1C概略顯示在圖1B中之凹陷特徵部2的無孔隙塊狀銅金屬過量填充。吾人可藉由銅電鍍,而在平滑的銅金屬晶種層106上形成無孔隙塊狀銅金屬過量填充物110。塊狀銅金屬沉積製程為熟習電路製造技術者所熟知,並且可例如包含電化學電鍍製程或無電電鍍製程。雖然沒有顯示在圖1C中,但典型上在塊狀銅金屬過量填充物110的形成之後進行化學機械研磨(CMP,chemical mechanical polishing)製程,以從場區域106a平整並移除過多的銅金屬,以及含金屬潤溼膜104與阻障膜102。
為了比較,圖2B顯示在圖2A中之凹陷特徵部3的塊狀銅金屬填充。如圖2B所示,塊狀銅金屬過量填充物112包含位在塊狀銅金屬過量填充物112與含金屬潤溼膜104之界面附近的有害孔隙114。此為在此技術領域中具有通常知識者所熟知,存在於塊狀銅金屬過量填充物112中的孔隙114會增加電阻並且具有在含有塊狀銅金屬過量填充物112的半導體裝置方面上的有害影響。
圖3A-3D為依照本發明之實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的製程流程圖。此製程流程可被應用在具有包含一上表面以及至少一凹陷特徵部之表面形狀的基板上,此凹陷特徵部包含至少一側壁表面以及一底表面。在一種範例中,此至少一凹陷特徵部可含有穿孔(via)、溝渠、或其組合,例如雙重金屬鑲嵌結構。
在圖3A中,製程300包含:於方塊302,將阻障膜沉積在位於基板上的凹陷特徵部中。阻障膜可含有氮化鉭、氮化鈦、氮化鎢、氮化矽鉭、氮化矽鈦、或氮化矽鎢、或其組合。依照一種實施例,阻障膜可以實質均勻的膜厚而保角地沉積在基板表面形狀上。然而,不需要實質均勻的厚度,只要阻障膜連續覆蓋基板表面形狀即可。
於方塊304,將含金屬潤溼膜沉積在阻障膜上。含金屬潤溼膜可例如含有非晶體、多晶體、或結晶釕金屬(Ru)、鈀金屬(Pd)、銠金屬(Rh)、或銀金屬(Ag)、或其組合。在其他範例中,含金屬潤溼膜可含有這些金屬與氮、氧、碳、硼、或磷的化合物。依照一種實施例,含金屬潤溼膜可以實質均勻的厚度而保角地沉積在阻障膜表面形狀上。然而,不需要實質均勻的厚度,只要含金屬潤溼膜連續覆蓋基板表面形狀即可。依照本發明之一種實施例,阻障膜與含金屬潤溼膜兩者皆被至少實質保角地沉積在基板表面形狀上方。
在一種範例中,阻障膜含有氮化鉭,而含金屬潤溼膜則含有釕金屬。吾人可例如藉由使用含Ta前驅物以及NH3 的化學氣相沉積而沉積氮化鉭。在具有約500nm以下之寬度的凹陷特徵部中,氮化鉭的厚度可例如介於約1nm與約10nm之間,或介於約2nm與約5nm之間,舉例來說約4nm。吾人可藉由使用Ru3 (CO)12 前驅物、CO載氣、以及介於150℃與200℃之間例如約180℃之基板溫度的化學氣相沉積而沉積釕金屬。釕金屬膜的厚度可例如介於約0.1nm與約5nm之間,或介於約0.5nm與約2nm之間,舉例而言約1nm。
於方塊306,將平滑的銅金屬晶種層形成在含金屬潤溼膜上。使用物理氣相沉積製程將銅金屬沉積在含金屬潤溼膜上。依照本發明之實施例,在銅金屬沉積期間,基板溫度被維持足夠高(例如大於0℃)以在含金屬潤溼膜上形成平滑的銅金屬晶種層。依照本發明之實施例,基板溫度係低於銅金屬晶種層中之銅金屬發生實質凝聚的溫度。依照本發明之一種實施例,基板溫度係大於0℃並且低於銅金屬晶種層中之銅金屬的實質凝聚發生在含金屬潤溼膜上的溫度。
於方塊308,將無孔隙塊狀銅金屬鍍於凹陷特徵部中。鍍於凹陷特徵部中之塊狀銅金屬的量可足夠以塊狀銅金屬來填充或過量填充凹陷特徵部,但僅在執行凹陷特徵部的部分填充時,此係不需要的。
圖3B係依照本發明之另一實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的製程流程圖。製程流程320係類似於圖3A所示之製程流程300,但包含:於方塊322,將阻障膜沉積在位於基板上的凹陷特徵部中;以及於方塊324,將含金屬潤溼膜沉積在阻障膜上。
於方塊326,對含金屬潤溼膜進行熱處理。依照本發明之實施例,含金屬潤溼膜的熱處理可包含在升高的基板溫度下,將含金屬潤溼膜曝露於惰性氣體、H2 、或惰性氣體與H2 的組合。舉例而言,此組合可包含0.1-100%的H2 以及剩餘的惰性氣體。此惰性氣體可例如係選自鈍氣(即He、Ne、Ar、Kr、Xe)與N2 。依照本發明之實施例,含金屬潤溼膜的熱處理可包含將基板加熱至介於約100℃與約400℃之間、介於約100℃與約300℃之間、或介於約100℃與約200℃之間例如150℃的基板溫度。吾人可考慮到含金屬潤溼膜的熱處理會因為化學還原或移除含金屬潤溼膜的任何氧化部分,而進一步增加銅金屬在含金屬潤溼膜上的潤溼性。含金屬潤溼膜會在含金屬潤溼膜沉積期間或在沉積之後因為曝露於例如水或一氧化碳的氧化氣體而產生氧化。
一種含金屬潤溼膜的示範熱處理包含:曝露於含有5% H2 、剩餘N2 的處理氣體;3Torr的氣體壓力;約150℃的基板溫度;以及1-30分鐘的處理時間,但本發明之實施例並不被這些處理條件所限制,因為吾人可利用其他的熱處理條件。舉例而言,氣體壓力可介於約1Torr與約760Torr之間。在本發明之某些實施例中,氣體壓力可介於約1Torr與約10Torr之間。吾人應注意到在本申請案中,「方塊」一詞並沒有禁止在時間上同步或部分重疊地執行兩個步驟。例如,含金屬潤溼膜的沉積以及含金屬潤溼膜的熱處理在時間上可被同步或部分重疊地執行。
於方塊328,在含金屬潤溼膜上形成平滑的銅金屬晶種層;以及於方塊330,將無孔隙塊狀銅金屬鍍於凹陷特徵部中。
圖3C係依照本發明之又另一實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的製程流程圖。製程流程340係類似於圖3A所示之製程流程300,但包含:於方塊342,將阻障膜沉積在位於基板上的凹陷特徵部中;於方塊344,將含金屬潤溼膜沉積在阻障膜上。
於方塊346,在含金屬潤溼膜上形成平滑的銅金屬晶種層。
於方塊348,對銅金屬晶種層進行熱處理。依照本發明之實施例,銅金屬晶種層的熱處理可包含在升高的基板溫度下,將銅金屬晶種層曝露於惰性氣體、H2 、或惰性氣體與H2 的組合。舉例而言,此組合可包含0.1-100%的H2 以及剩餘的惰性氣體。此惰性氣體可例如係選自鈍氣(即He、Ne、Ar、Kr、Xe)與N2 。依照本發明之實施例,銅金屬晶種層的熱處理可更包含將基板加熱至介於約100℃與約400℃之間、介於約100℃與約300℃之間、或介於約100℃與約200℃之間例如150℃的基板溫度。吾人可考慮到熱處理可化學還原或移除銅金屬晶種層的氧化部分。含金屬潤溼膜會在銅金屬晶種層沉積期間及/或之後產生氧化。
一種銅金屬晶種層的示範熱處理包含:曝露於含有5% H2 、剩餘N2 的處理氣體;3Torr的氣體壓力;約150℃的基板溫度;以及1-30分鐘的處理時間,但本發明之實施例並不被這些處理條件所限制,因為吾人可利用其他的熱處理條件。舉例而言,氣體壓力可介於約1Torr與約760Torr之間。在本發明之某些實施例中,氣體壓力可介於約1Torr與約10Torr之間。例如,銅金屬晶種層的沉積以及銅金屬晶種層的熱處理在時間上可被同步或部分重疊地執行。
於方塊350,將無孔隙塊狀銅金屬鍍於凹陷特徵部中。
圖3D係依照本發明之又另一實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的製程流程圖。製程流程360係類似於分別顯示在圖3A、3B、3C的製程流程300、320、340,但包含:於方塊362,將阻障膜沉積在位於基板上的凹陷特徵部中;以及於方塊364,將含金屬潤溼膜沉積在阻障膜上。
於方塊366,對含金屬潤溼膜進行熱處理。含金屬潤溼膜的熱處理可在惰性氣體、H2 氣體、或惰性氣體與H2 氣體之組合存在的情況下,以介於約100℃與約400℃之間的基板溫度加以執行。
於方塊368,在含金屬潤溼膜上形成平滑的銅金屬晶種層。
於方塊370,對銅金屬晶種層進行熱處理。銅金屬晶種層的熱處理可在惰性氣體、H2 氣體、或惰性氣體與H2 氣體之組合存在的情況下,以介於約100℃與約400℃之間的基板溫度加以執行。依照本發明之一種實施例,於方塊366與370的熱處理可利用相同的處理條件。
於方塊372,將無孔隙塊狀銅金屬鍍於凹陷特徵部中。
圖4A與4B概略顯示額外凹陷特徵部的橫剖面圖,這些特徵部係依照本發明之實施例使用平滑銅金屬晶種層以無孔隙塊狀銅金屬來進行填充。示範性的凹陷特徵部1係顯示並說明於上述圖1A中,但本發明之實施例可應用在基於積體電路設計的其他類型凹陷特徵部上。此可被此技術領域中具有通常知識者所明白,包含阻障膜沉積、含金屬潤溼膜沉積、銅金屬晶種層沉積、以及無孔隙塊狀銅金屬填充的本發明實施例可輕易被應用在圖4A與4B所示的凹陷特徵部上。
圖4A概略顯示依照本發明之一種實施例之圖案化結構的橫剖面圖。所顯示的此圖案化結構包含形成在導電層422上方的雙重金屬鑲嵌特徵部424。雙重金屬鑲嵌特徵部424包含具有側壁表面428a與底部表面428b的穿孔428、以及形成在介電材料418中的溝渠426,於其中溝渠426包含側壁表面426a與底部表面426b。溝渠426可用於上導電互連結構,而穿孔428使溝渠426連接至導電層422。此圖案化結構更包含介電層412與414、圍繞導電層422的阻障層420、以及蝕刻中止層416。
圖4B概略顯示依照本發明之另一實施例之圖案化結構的橫剖面圖。此圖案化結構被形成在基板400上,並且包含形成在介電膜402中的凹陷特徵部405a、以及形成在位於凹陷特徵部405a底部之閘電極406上的導電層403a。閘電極406為閘極結構的部分,此閘極結構更包含閘極介電膜407。閘極介電膜407可含有SiO2 、SiOx Ny 、SiNy 、或具有大於SiO2 (k~3.9)之介電常數的高介電常數(high-k)材料、或其組合。高介電常數材料可包含金屬氧化物、金屬氮氧化物、以及其矽酸鹽,例如Ta2 O5 、TiO2 、ZrO2 、Al2 O3 、Y2 O3 、HfOx Ny 、HfSiOx Ny 、HfSiOx 、HfO2 、ZrSiOx 、ZrOx Ny 、ZrSiOx Ny 、TaSiOx 、SrOx 、SrSiOx 、LaOx 、LaSiOx 、YOx 、YSiOx 、或BaO、或其兩種以上的組合。
再者,圖4B的圖案化結構包含形成在介電膜402中的凹陷特徵部405b、以及形成在位於凹陷特徵部405b底部之基板400的掺雜基板區域401(例如汲極或源極區域)上的導電層403b。基板400可例如為200mm的Si晶圓、300mm的Si晶圓、或甚至更大的Si晶圓。介電膜402可含有SiO2 、SiON、SiN、或具有小於SiO2 (k~3.9)之介電常數的低介電常數(low-k)材料。依照本發明之一實施例,凹陷特徵部405a、405b可為具有大於或等於約2(例如3、4、5、6、7、12、15、或更高)之縱橫比(深度/寬度)的穿孔。此穿孔可具有約200nm以下的寬度,例如150nm、100nm、65nm、45nm、32nm、20nm、或更低。在一種範例中,凹陷特徵部405a、405b可為具有約7之縱橫比的45nm寬穿孔。然而,本發明之實施例並不限於這些縱橫比或穿孔寬度,因為吾人可利用其他的縱橫比或穿孔寬度。導電層403a與403b可包含矽化接觸層,其可提供薄、穩定的電接點,並且可例如含有CoSi2 、PtSi、Pd2 Si、TiSi2 、WSi2 、NiSi2 、或TaSi2 、或其兩種以上的組合。一種組合可含有PtNiSi,其可容許使用高於NiSi2 的處理溫度。用以形成圖4A與4B所示之圖案化結構的處理方法為熟習本項技藝者所熟知。
圖5顯示依照本發明之一實施例之用以沉積平滑銅晶種層之處理系統的示範區塊圖。在所述的實施例中,顯示離子化物理氣相沉積系統500。離子化物理氣相沉積系統500包含:離子化物理氣相沉積處理模組510;直流(DC)電源505,耦合至銅金屬靶525,此銅金屬靶係耦合至處理室520;處理氣體供應系統530;壓力控制系統540;無線射頻(RF,radio frequency)產生器550;無線射頻偏壓產生器555,可被耦合至溫控基板載台570內的電極557;基板溫度控制系統575,耦合至溫控基板載台570;背側氣體供應系統580,可被耦合至溫控基板載台570;以及靜電夾頭(ESC,electrostatic chuck)電極585,連接至靜電夾頭控制單元587。
離子化物理氣相沉積系統500包含控制器590,其耦合至處理室520、直流電源505、處理氣體供應系統530、壓力控制系統540、無線射頻產生器550、無線射頻偏壓產生器555、基板載台570、基板載台溫度控制系統575、背側氣體供應系統580、以及靜電夾頭控制單元587。
離子化物理氣相沉積處理模組510包含:天線534;無線射頻透射窗531,耦合至天線534;活動式沉積擋板533,耦合至窗531;以及銅金屬靶525,耦合至處理室520。無線射頻功率可從無線射頻產生器550被供應至天線534,而穿過窗531的無線射頻功率可在處理室520的電漿區域522中產生感應耦合電漿(ICP,inductively coupled plasma)。依照本發明之實施例,感應耦合電漿可大部分被約束在銅金屬靶525、窗531、以及擋板533附近的電漿區域522。
天線534可使用無線射頻匹配網路(無圖示)而電性連接至無線射頻產生器550。無線射頻產生器550可用以選擇性地對天線534進行能量或電力的供給。無線射頻產生器550可以介於約100kHz與約100MHz之間的頻率來提供時變(time-varying)無線射頻電流,此電流係以分佈介於約100瓦特與約10000瓦特之間的感應耦合電漿功率而供應至天線534。例如,吾人可使用約13.56MHz的操作頻率。或者,可使用其他的頻率。當藉由無線射頻產生器550來供給能量時,天線534可發射等向性的無線射頻電磁場。金屬外殼或罩(無圖示)可用以包圍天線,而約束於其中所發射的無線射頻電磁場,以確保附近人員的安全性並且防止與周遭電子產品的電磁干擾。
天線534可位於處理室520的外部而在腔壁532的窗531後方。較佳由有槽(slotted)金屬材料所形成的活動式沉積擋板533係位於處理室520的內部而與窗531緊密隔開,以遮蔽沉積物而保護窗531。控制器590可用以判定待提供之感應耦合電漿功率的量以及何時將其施加至天線534。
離子化物理氣相沉積系統500包含溫控基板載台570,此基板載台包含靜電夾頭電極585,並且可使用Z移動驅動器572而耦合至處理室520。Z移動驅動器572可用以調整基板到靶的距離(空隙),而提供最佳的沉積均勻度。控制器590可用以判定在銅金屬沉積製程期間所需之基板到靶的距離,並且當需要時對Z移動驅動器572提供控制資料。在銅金屬沉積製程期間,基板到靶的距離典型上可為550到300mm。
基板載台570可容納200mm的基板、300mm的基板、或更大的基板。例如,基板511可透過由閘閥組件(無圖示)所控制的開口(無圖示)而被送入與送出處理室520。此外,吾人可使用自動基板運送系統(無圖示),將基板511送至與送離基板載台570。此外,基板511可被裝置在基板載台570內的基板升降銷(無圖示)所接收,並且藉由裝置於其內的裝置來進行機械式調動。一旦由運送系統接收基板511時,其可被降下到基板載台570的上表面而進行處理。
在處理期間,吾人可使用靜電夾頭電極585而將基板511固定在基板載台570的頂部。或者,吾人可使用其他的箝制裝置。
此外,當基板位於溫控基板載台570上時,可對基板溫度進行控制。溫控基板載台570可包含耦合至溫度控制系統575的加熱器組件576以及冷卻組件577。加熱器組件576以及冷卻組件577可與一種以上的背側氣體一同被使用,以設立期望的基板溫度。控制器590可用以判定並控制基板溫度。例如,冷卻組件577可包含位於基板載台570內的流體流道(無圖示)以及適當的溫度控制。例如,基板511在電漿處理期間所產生的熱可藉由基板載台570而被有效地取出,以使基板511保持在實質固定的溫度,或此熱可用以增加基板溫度。基板溫度可藉由改變溫控基板載台570的溫度而被控制在介於約-50℃與約200℃之間。
氣體通道(無圖示)可用以在基板載台570的頂部與基板511的對向表面之間導引例如氦氣或氬氣的背側(傳熱)氣體。例如,雙區段(two-zone)系統可用以對中央部位及邊緣部位設立不同且獨立的背側壓力值,藉以在基板載台570與基板511的不同部位之間提供不同的導熱性。
一個以上的溫度感測器589可位在基板載台570之上或內的一個以上位置,並且可耦合至控制器590,此控制器可用以轉換出自溫度感測器589的信號,而提供基板載台570之不同部位的溫度指示。基板載台570的溫度可用以判定基板511的溫度,而控制器590可將回饋資訊提供至溫度控制系統575以及背側氣體供應系統580,而調節基板511的溫度。
依照本發明之一種實施例,基板511與基板載台570可被接地。依照本發明之另一實施例,吾人可使用無線射頻偏壓產生器555,將無線射頻偏壓功率供應至基板載台570內的電極557,並且可用以提供基板偏壓。控制器590可用以判定待提供之無線射頻功率的量以及何時將其施加至基板載台570。例如,無線射頻功率可被開啟至適合在銅金屬沉積製程期間控制基板511上之偏壓的等級,以改善並影響此製程。
無線射頻偏壓產生器555的操作頻率可從1MHz分佈至100MHz。無線射頻偏壓產生器555可用以選擇性地朝向基板511施加用以加速帶正電之電漿成分的偏壓電位。藉由無線射頻偏壓產生器555所提供的偏壓電位可實質決定從電漿被吸引至基板之正離子的動能。無線射頻偏壓產生器555可例如以約13.56MHz的頻率、以及介於約100瓦特與約1000瓦特之間的功率來進行操作。或者,吾人可使用其他的頻率,例如2MHz或27MHz。
處理氣體可藉由處理氣體供應系統530而被提供至處理室520。處理氣體可含有例如氬氣(Ar)的惰性氣體,或任何其他可與此製程相容的惰性氣體或非惰性氣體。氬氣可藉由氣體線路528而被導入處理室520內。或者,吾人可使用其他構造以將氬氣導入處理室520內。如圖5所略示,氬氣可被導入處理室520內而靠近銅金屬靶525。
吾人可使用壓力控制系統540來控制腔室壓力。壓力控制系統540可例如包含真空幫浦(無圖示)以及節流閥(無圖示)。腔室壓力可藉由壓力控制系統540而被維持在低壓,例如低於100mTorr。控制器590可用以控制壓力控制系統540、及/或處理氣體供應系統530,並因此控制腔室壓力。
直流功率可從直流電源505被供應至銅金屬靶525。控制器590可用以判定待提供之直流功率的量以及何時將其施加至此靶。例如,直流功率可從1000瓦特分佈至10000瓦特,並且可為約2000瓦特。
控制器590可用以將控制資料提供至系統構件,並且接收來自系統構件的處理及/或狀態資料。此外,控制器590可被耦合至另一個控制系統(無圖示),並且與此另一控制系統交換資訊。例如,控制器590可包含微處理器、記憶體(例如揮發性或非揮發性記憶體)、以及數位I/O埠,其能夠產生控制電壓,此控制電壓足以通訊並且啟動通往離子化物理氣相沉積系統500的輸入並且監測來自離子化物理氣相沉積系統500的輸出。此外,控制器590可與系統構件交換資訊,以及儲存在記憶體中的程式可依照處理配方而用以控制離子化物理氣相沉積系統500的上述構件。此外,控制器590可用以分析處理及/或狀態資料,以將處理及/或狀態資料與期望的處理及/或狀態資料進行比較,並且使用此比較結果來改變製程及/或控制系統構件。此外,控制器590可用以分析處理及/或狀態資料,以將處理及/或狀態資料與以往的處理及/或狀態資料進行比較,並且使用此比較結果來預測、預防、及/或宣告缺陷。
圖5進一步顯示耦合至處理室520的磁體組件535。磁體組件535可用以使位於處理室520之電漿區域522內的電漿成形。具有最小化與可控制磁場的系統範例被說明於美國專利申請案第20040188239號,以及此專利申請案係藉由參考文獻方式而合併於此。如圖5所示,磁體組件535可位於銅金屬靶525後方,並且可用以產生及/或改變位於處理室520之電漿區域522內的靜態磁場形狀。在一種實施例中,吾人可使用具有弱磁場強度的磁體組件535來執行銅金屬沉積製程。來自磁體的場力線(field lines)可展開成處理體積。在替代實施例中,存在於此腔室內的這些或其他場力線可產生變化而增強銅金屬沉積製程。例如,吾人可藉由控制磁體構造、藉由物理移動及/或旋轉磁體而改變磁場。此外,電磁體或電磁體構件可用以改變磁場。此外,局部的靜態磁場可用以使此靶的性能最佳化。用於離子化物理氣相沉積的若干磁體封裝構造典型上可產生在靶表面超過150高斯或數百高斯的靜態磁場強度,以提供電漿的約束、期望的侵蝕輪廓以及高靶利用率。降低在靶表面約5-10高斯的靜態磁場強度可消除此種約束效果。依照本發明的某些實施例,離子化物理氣相沉積系統500可省略磁體組件535。
在各種實施例中,一種以上的處理參數可被量測,並且與期望的處理參數進行比較,以控制離子化物理氣相沉積系統500之一個以上構件的操作。經過量測的性能可用以修改一種以上的處理參數,例如直流啟動時間(DC-on time)、電漿成形處理時間、直流關閉時間(DC-off time)、直流功率、感應耦合電漿功率、背側氣體壓力、基板載台溫度、基板溫度、處理氣體流率、處理室壓力、以及銅金屬沉積速率。
吾人可瞭解到圖5所示之離子化物理氣相沉積系統係為了示範目的而顯示,因為可使用許多特定硬體的變形體,而實現於其中可實施本發明之實施例的電漿處理系統,以及這些變形體可輕易為此技術領域中具有通常知識者所明白。或者,其他類型的電漿處理系統可被使用來沉積保角銅金屬晶種層。在一種範例中,一種電容耦合電漿(CCP,capacitive cbupled plasma)系統,於其中銅金屬靶形成上電極,而於其上放置基板的基板載台形成下電極。
已在各種實施例中揭露在基板上控制銅凝聚、在凹陷特徵部上方沉積平滑銅金屬晶種層、以及以無孔隙塊狀銅金屬對凹陷特徵部進行填充的方法。上述本發明的實施例說明係為了例示與說明之目的而提出。其並不意指排除性或將本發明限制在所揭露的精確形式。此說明與下列請求項包含僅用於描述目的且不被理解為限制的名詞。舉例而言,如在此所使用之「上」一詞(包含在請求項中)不需要位於基板「上」的膜係直接在基板上且與其緊鄰接觸;在此膜與此基板之間可能存在有第二膜或其他基板。
熟習相關技藝者可明白根據上述教示,許多修改與變化係可行的。熟習本項技藝者可認識用於圖式所示之各種構件的各種等效組合與替代品。因此,此意指本發明之範圍並非被此種詳細說明所限制,而係藉由隨附之請求項來限制。
1...凹陷特徵部
2...凹陷特徵部
3...凹陷特徵部
100...基板
102...阻障膜
104...含金屬潤溼膜
105a...場區域
105b...側壁區域
105c...底部區域
106...平滑銅金屬晶種層
106a...場區域
106b...側壁區域
106c...底部區域
107...空隙
108...銅金屬晶種層
108a...銅金屬晶種層部分
108b...銅金屬晶種層部分
108c...銅金屬晶種層部分
110...無孔隙塊狀銅金屬過量填充物
112...塊狀銅金屬過量填充物
114...孔隙
300...製程
302...將阻障膜沉積在基板上的凹陷特徵部中
304...將含金屬潤溼膜沉積在阻障膜上
306...在含金屬潤溼膜上形成平滑的銅金屬晶種層
308...將無孔隙塊狀銅金屬鍍於凹陷特徵部中
320...製程
322...將阻障膜沉積在基板上的凹陷特徵部中
324...將含金屬潤溼膜沉積在阻障膜上
326...對含金屬潤溼膜進行熱處理
328...在含金屬潤溼膜上形成平滑的銅金屬晶種層
330...將無孔隙塊狀銅金屬鍍於凹陷特徵部中
340...製程
342...將阻障膜沉積在基板上的凹陷特徵部中
344...將含金屬潤溼膜沉積在阻障膜上
346...在含金屬潤溼膜上形成平滑的銅金屬晶種層
348...對銅晶種層進行熱處理
350...將無孔隙塊狀銅金屬鍍於凹陷特徵部中
360...製程
362...將阻障膜沉積在基板上的凹陷特徵部中
364...將含金屬潤溼膜沉積在阻障膜上
366...對含金屬潤溼膜進行熱處理
368...在含金屬潤溼膜上形成平滑的銅金屬晶種層
370...對銅晶種層進行熱處理
372...將無孔隙塊狀銅金屬鍍於凹陷特徵部中
400...基板
401...掺雜基板區域
402...介電膜
403a...導電層
403b...導電層
405a...凹陷特徵部
405b...凹陷特徵部
406...閘電極
407...閘極介電膜
412...介電層
414...介電層
416...蝕刻中止層
418...介電材料
420...阻障層
422...導電層
424...雙重金屬鑲嵌特徵部
426...溝渠
426a...側壁表面
426b...底部表面
428...穿孔
428a...側壁表面
428b...底部表面
500...離子化物理氣相沉積系統
505...直流電源
510...離子化物理氣相沉積處理模組
511...基板
520...處理室
522...電漿區域
525...銅金屬靶
528...氣體線路
530...處理氣體供應系統
531...無線射頻穿透窗
532...腔壁
533...活動式沉積擋板
534...天線
535...磁體組件
540...壓力控制系統
550...無線射頻產生器
555...無線射頻偏壓產生器
557...電極
570...溫控基板載台
572...Z移動驅動器
575...基板溫度控制系統
576...加熱器組件
577...冷卻組件
580...背側氣體供應系統
585...靜電夾頭電極
587...靜電夾頭控制單元
589...溫度感測器
590...控制器
600...凹陷特徵部
602...銅金屬晶種層與釕金屬潤溼膜的結合
610...凹陷特徵部
612...銅金屬晶種層與釕金屬潤溼膜的結合
在圖式中:
圖1A-1C概略顯示依照本發明之一實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的橫剖面圖;
圖2A-2B概略顯示以含有孔隙之塊狀銅金屬對凹陷特徵部進行填充之典型方法的橫剖面圖;
圖3A-3D為依照本發明之實施例使用平滑銅金屬晶種層而以塊狀銅金屬對凹陷特徵部進行無孔隙填充之方法的製程流程圖;
圖4A與4B概略顯示依照本發明之實施例可使用平滑銅金屬晶種層而以無孔隙塊狀銅金屬進行填充之額外凹陷特徵部的橫剖面圖;
圖5顯示依照本發明之一實施例之用以沉積平滑銅晶種層之處理系統的示範區塊圖;及
圖6A與6B顯示藉由物理氣相沉積以不同基板溫度而沉積在釕金屬潤溼膜上之銅金屬晶種層的掃瞄式電子顯微(SEM)橫剖面照片。
300...製程
302...將阻障膜沉積在基板上的凹陷特徵部中
304...將含金屬潤溼膜沉積在阻障膜上
306...在含金屬潤溼膜上形成平滑的銅晶種層
308...將無孔隙塊狀銅金屬鍍於凹陷特徵部中

Claims (19)

  1. 一種控制基板上之銅凝聚的方法,該方法包含下列步驟:設置具有包含一上表面以及至少一凹陷特徵部的表面形狀的基板,該凹陷特徵部包含至少一側壁表面以及一底部表面;在該基板表面形狀上沉積一阻障膜;在該阻障膜上沉積一含金屬潤溼膜;由一銅金屬靶濺鍍銅金屬;及在介於0℃與200℃之間的基板溫度,將該含金屬潤溼膜曝露於該經濺鍍的銅金屬,其中該曝露沉積一連續銅金屬晶種層,在該凹陷特徵部之入口處之該含金屬潤溼膜上無突出部。
  2. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,其中該含金屬潤溼膜包含釕金屬(Ru)、鈀金屬(Pd)、銠金屬(Rh)、或銀金屬(Ag)、或進一步包含氮、氧、碳、硼、或磷之Ru、Pd、Rh、或Ag的化合物。
  3. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況下,以介於約100℃與約400℃之間的溫度,對該含金屬潤溼膜進行熱處理。
  4. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況下,以介於約100℃與約400℃之間的溫度,對該銅金屬晶種層進行熱處理。
  5. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,其中該阻障膜包含氮化鉭、氮化鈦、氮化鎢、氮化矽鉭、氮化矽鈦、 或氮化矽鎢、或其組合。
  6. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,其中該至少一凹陷特徵部係形成在一介電材料中。
  7. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,其中該含金屬潤溼膜包含釕金屬(Ru)。
  8. 如申請專利範圍第1項所述之控制基板上之銅凝聚的方法,其中該基板溫度係介於10℃與100℃之間。
  9. 一種處理基板的方法,該方法包含下列步驟:設置具有包含一上表面以及至少一凹陷特徵部的表面形狀的基板,該凹陷特徵部包含至少一側壁表面以及一底部表面;在該基板表面形狀上沉積一阻障膜,在該至少一凹陷特徵部中,該阻障膜具有介於約1nm與約10nm之間的厚度;在該阻障膜上沉積一含金屬潤溼膜,該含金屬潤溼膜包含釕金屬(Ru)、鈀金屬(Pd)、銠金屬(Rh)、或銀金屬(Ag)、或進一步包含氮、氧、碳、硼、或磷之Ru、Pd、Rh、或Ag的化合物;由一銅金屬靶濺鍍銅金屬;在介於0℃與200℃之間的基板溫度,將該含金屬潤溼膜曝露於該經濺鍍的銅金屬,其中該曝露沉積一連續銅金屬晶種層,在該凹陷特徵部之入口處之該含金屬潤溼膜上無突出部;及將無孔隙塊狀銅金屬鍍於該至少一凹陷特徵部中。
  10. 如申請專利範圍第9項所述之處理基板的方法,其中該電鍍步驟用以填充或過量填充該至少一凹陷特徵部。
  11. 如申請專利範圍第9項所述之處理基板的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況 下,以介於約100℃與約400℃之間的溫度,對該含金屬潤溼膜進行熱處理。
  12. 如申請專利範圍第9項所述之處理基板的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況下,以介於約100℃與約400℃之間的溫度,對該銅金屬晶種層進行熱處理。
  13. 如申請專利範圍第9項所述之處理基板的方法,其中該阻障膜包含氮化鉭、氮化鈦、氮化鎢、氮化矽鉭、氮化矽鈦、或氮化矽鎢、或其組合。
  14. 一種處理基板的方法,該方法包含下列步驟:設置具有包含一上表面以及至少一凹陷特徵部的表面形狀的基板,該凹陷特徵部包含至少一側壁表面以及一底部表面,該至少一凹陷特徵部包含一穿孔、一溝渠、或其組合;在該基板表面形狀上沉積一阻障膜,在該至少一凹陷特徵部中,該阻障膜具有介於約1nm與約10nm之間的厚度;在使用Ru3(CO)12前驅物與CO載氣的化學氣相沉積製程中,將一釕金屬潤溼膜沉積在該阻障膜上,在該至少一凹陷特徵部中,該釕金屬潤溼膜具有介於約0.1nm與約5nm之間的厚度;由一銅金屬靶濺鍍銅金屬;在介於0℃與200℃之間的基板溫度,將該釕金屬潤溼膜曝露於該經濺鍍的銅金屬,其中該曝露沉積一連續銅金屬晶種層,在該凹陷特徵部之入口處之該釕金屬潤溼膜上無突出部;及將無孔隙塊狀銅金屬鍍於該至少一凹陷特徵部中,其中該電鍍步驟填充或過量填充該至少一凹陷特徵部。
  15. 如申請專利範圍第14項所述之處理基板的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況 下,以介於約100℃與約400℃之間的溫度,對該釕金屬潤溼膜進行熱處理。
  16. 如申請專利範圍第14項所述之處理基板的方法,更包含:在惰性氣體、H2氣體、或H2與惰性氣體之組合存在的情況下,以介於約100℃與約400℃之間的溫度,對該銅金屬晶種層進行熱處理。
  17. 如申請專利範圍第14項所述之處理基板的方法,其中該阻障膜包含氮化鉭、氮化鈦、氮化鎢、氮化矽鉭、氮化矽鈦、或氮化矽鎢、或其組合。
  18. 如申請專利範圍第14項所述之處理基板的方法,其中該凹陷特徵部具有約100nm以下的寬度以及大於2:1的縱橫比。
  19. 如申請專利範圍第14項所述之處理基板的方法,其中該基板溫度係介於10℃與100℃之間。
TW098107311A 2008-03-07 2009-03-06 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充 TWI545653B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/044,191 US8247030B2 (en) 2008-03-07 2008-03-07 Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer

Publications (2)

Publication Number Publication Date
TW200947559A TW200947559A (en) 2009-11-16
TWI545653B true TWI545653B (zh) 2016-08-11

Family

ID=40765559

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107311A TWI545653B (zh) 2008-03-07 2009-03-06 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充

Country Status (6)

Country Link
US (1) US8247030B2 (zh)
JP (1) JP5702154B2 (zh)
KR (1) KR101553424B1 (zh)
CN (1) CN101965636A (zh)
TW (1) TWI545653B (zh)
WO (1) WO2009109934A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8661664B2 (en) 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
JP5392215B2 (ja) * 2010-09-28 2014-01-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9142508B2 (en) * 2011-06-27 2015-09-22 Tessera, Inc. Single exposure in multi-damascene process
KR20130056014A (ko) * 2011-11-21 2013-05-29 삼성전자주식회사 듀얼 다마신 배선 구조체를 포함하는 반도체 소자
US8754531B2 (en) * 2012-03-14 2014-06-17 Nanya Technology Corp. Through-silicon via with a non-continuous dielectric layer
US8772158B2 (en) 2012-07-20 2014-07-08 Globalfoundries Inc. Multi-layer barrier layer stacks for interconnect structures
US9269615B2 (en) * 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US20140134351A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
JP6013901B2 (ja) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法
US9558997B2 (en) * 2012-12-28 2017-01-31 Globalfoundries Inc. Integration of Ru wet etch and CMP for beol interconnects with Ru layer
US8859419B2 (en) 2013-02-01 2014-10-14 Globalfoundries Inc. Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device
KR20140104778A (ko) 2013-02-21 2014-08-29 삼성전자주식회사 관통전극을 갖는 반도체 소자의 제조방법
JP6257217B2 (ja) 2013-08-22 2018-01-10 東京エレクトロン株式会社 Cu配線構造の形成方法
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
TWI550800B (zh) * 2013-11-11 2016-09-21 力成科技股份有限公司 具強固型晶背凸塊之矽穿孔結構
US9397040B2 (en) 2014-03-07 2016-07-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device comprising metal plug having substantially convex bottom surface
US9646854B2 (en) * 2014-03-28 2017-05-09 Intel Corporation Embedded circuit patterning feature selective electroless copper plating
US9595464B2 (en) 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
DE112014006897T5 (de) * 2014-08-27 2017-05-11 Ultratech, Inc. Verbessertes Kontaktloch durch Silizium
TWI567919B (zh) * 2014-08-29 2017-01-21 烏翠泰克股份有限公司 經改良之直通矽貫穿孔
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2017009947A1 (ja) * 2015-07-14 2017-01-19 リサーチ コーオペレーション ファウンデーション オブ ヨンナム ユニバーシティ 原子層蒸着法によるルテニウム薄膜の形成方法
WO2017009948A1 (ja) * 2015-07-14 2017-01-19 リサーチ コーオペレーション ファウンデーション オブ ヨンナム ユニバーシティ 原子層蒸着法によるルテニウム薄膜の形成方法
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
WO2017099770A1 (en) * 2015-12-09 2017-06-15 Intel Corporation Semiconductor devices having ruthenium phosphorus thin films
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
TWI773839B (zh) * 2017-10-14 2022-08-11 美商應用材料股份有限公司 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
CN111834331B (zh) * 2019-04-16 2022-09-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
US11152325B2 (en) * 2019-08-22 2021-10-19 Cree, Inc. Contact and die attach metallization for silicon carbide based devices and related methods of sputtering eutectic alloys
CN110690166B (zh) * 2019-10-31 2022-03-18 上海华力集成电路制造有限公司 接触孔结构的形成方法及该接触孔结构
CN110752183A (zh) * 2019-10-31 2020-02-04 上海华力集成电路制造有限公司 接触孔结构的形成方法及该接触孔结构
US12004342B2 (en) 2021-02-09 2024-06-04 Changxin Memory Technologies, Inc. Method for manufacturing semiconductor structure and semiconductor structure

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851895A (en) 1985-05-06 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Metallization for integrated devices
US4929468A (en) 1988-03-18 1990-05-29 The United States Of America As Represented By The United States Department Of Energy Formation of amorphous metal alloys by chemical vapor deposition
US4938999A (en) 1988-07-11 1990-07-03 Jenkin William C Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl
US5171610A (en) 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5314727A (en) 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5359787A (en) 1993-04-16 1994-11-01 Air Products And Chemicals, Inc. High purity bulk chemical delivery system
JPH1064902A (ja) 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
CA2206217C (en) 1997-05-27 2003-01-07 Miroslav Milinkovic Nickel carbonyl vapour deposition process
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6074945A (en) 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
WO2000026432A1 (en) 1998-11-03 2000-05-11 Chemical Vapour Deposition Systems Inc. Nickel carbonyl vapour deposition apparatus and process
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6232230B1 (en) 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
US6319832B1 (en) 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6627542B1 (en) 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
JP2001144089A (ja) * 1999-11-11 2001-05-25 Sony Corp 半導体装置の製造方法
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
US6303809B1 (en) 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
JP2002053971A (ja) * 2000-08-03 2002-02-19 Sony Corp めっき方法及びめっき構造、並びに半導体装置の製造方法及び半導体装置
JP2002076000A (ja) * 2000-09-05 2002-03-15 Sony Corp 半導体装置の製造方法
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6508919B1 (en) 2000-11-28 2003-01-21 Tokyo Electron Limited Optimized liners for dual damascene metal wiring
US6440854B1 (en) 2001-02-02 2002-08-27 Novellus Systems, Inc. Anti-agglomeration of copper seed layers in integrated circuit metalization
JP4065670B2 (ja) * 2001-08-09 2008-03-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
US6420583B1 (en) 2001-09-27 2002-07-16 Praxair Technology, Inc Methods of synthesizing ruthenium and osmium compounds
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7294567B2 (en) 2002-03-11 2007-11-13 Micron Technology, Inc. Semiconductor contact device and method
US7115498B1 (en) 2002-04-16 2006-10-03 Advanced Micro Devices, Inc. Method of ultra-low energy ion implantation to form alloy layers in copper
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
DE20221990U1 (de) 2002-06-06 2010-06-10 Varta Microbattery Gmbh Galvanisches Element
KR100482180B1 (ko) 2002-12-16 2005-04-14 동부아남반도체 주식회사 반도체 소자 제조방법
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP4154729B2 (ja) * 2003-11-12 2008-09-24 Jsr株式会社 導電性積層膜およびその導電性積層膜形成方法
US20050110142A1 (en) 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
JP3792239B2 (ja) * 2004-08-02 2006-07-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
JP2006128288A (ja) 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
US7270848B2 (en) 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006179599A (ja) 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP4889227B2 (ja) * 2005-03-23 2012-03-07 東京エレクトロン株式会社 基板処理方法および成膜方法
US7396766B2 (en) 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7396755B2 (en) 2005-05-11 2008-07-08 Texas Instruments Incorporated Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
KR100729933B1 (ko) 2005-12-19 2007-06-18 동부일렉트로닉스 주식회사 구리 시드층의 증착 온도 측정 방법 및 이를 이용한 구리층형성 방법
US20070237895A1 (en) 2006-03-30 2007-10-11 Tokyo Electron Limited Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7439624B2 (en) 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
TW200743676A (en) 2006-05-30 2007-12-01 Jinn P Chu Copper seed layer for barrier-free metallization and the method for making the same
US7694413B2 (en) 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
JP2008016697A (ja) * 2006-07-07 2008-01-24 Renesas Technology Corp 半導体装置の製造方法
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US20080264774A1 (en) 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US7592257B2 (en) 2007-05-14 2009-09-22 Tokyo Electron Limited Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US7704879B2 (en) 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7772110B2 (en) 2007-09-28 2010-08-10 Tokyo Electron Limited Electrical contacts for integrated circuits and methods of forming using gas cluster ion beam processing

Also Published As

Publication number Publication date
KR20100124807A (ko) 2010-11-29
TW200947559A (en) 2009-11-16
US8247030B2 (en) 2012-08-21
US20090226611A1 (en) 2009-09-10
WO2009109934A1 (en) 2009-09-11
JP2011513983A (ja) 2011-04-28
CN101965636A (zh) 2011-02-02
JP5702154B2 (ja) 2015-04-15
KR101553424B1 (ko) 2015-09-15

Similar Documents

Publication Publication Date Title
TWI545653B (zh) 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充
US7884012B2 (en) Void-free copper filling of recessed features for semiconductor devices
US7704879B2 (en) Method of forming low-resistivity recessed features in copper metallization
US20210159118A1 (en) Doping Control of Metal Nitride Films
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7154178B2 (en) Multilayer diffusion barrier for copper interconnections
US7592257B2 (en) Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US20080242088A1 (en) Method of forming low resistivity copper film structures
US7718527B2 (en) Method for forming cobalt tungsten cap layers
US20080237860A1 (en) Interconnect structures containing a ruthenium barrier film and method of forming
TWI609095B (zh) 用於氮化錳整合之方法
US20180053688A1 (en) Method of metal filling recessed features in a substrate