DE112014006897T5 - Verbessertes Kontaktloch durch Silizium - Google Patents

Verbessertes Kontaktloch durch Silizium Download PDF

Info

Publication number
DE112014006897T5
DE112014006897T5 DE112014006897.7T DE112014006897T DE112014006897T5 DE 112014006897 T5 DE112014006897 T5 DE 112014006897T5 DE 112014006897 T DE112014006897 T DE 112014006897T DE 112014006897 T5 DE112014006897 T5 DE 112014006897T5
Authority
DE
Germany
Prior art keywords
layer
precursor
barrier layer
ruthenium
inner diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112014006897.7T
Other languages
English (en)
Inventor
Mark Sowa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ultratech Inc
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Publication of DE112014006897T5 publication Critical patent/DE112014006897T5/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Durchgangskontaktlöcher werden zur Metallisierung unter Verwendung von ALD- und PEALD-Bearbeitung vorbereit. Jedes Kontaktloch wird mit einer Titannitrid-Sperrschicht mit einer Dicke im Bereich von 20 bis 200 Å beschichtet. Eine Ruthenium-Dichtungsschicht wird auf der Titannitrid-Sperrschicht ausgebildet, wobei die Dichtungsschicht ohne Sauerstoff ausgebildet wird, um die Oxidation der Titannitrid-Sperrschicht zu verhindern. Eine Ruthenium-Keimbildungsschicht wird auf der Dichtungsschicht ausgebildet, wobei die Keimbildungsschicht mit Sauerstoff ausgebildet wird, um Kohlenstoff während des Aufbringens der Ru-Keimbildungsschicht zu oxidieren. Die Dichtungsschicht wird durch ein PEALD-Verfahren unter Verwendung von durch Plasma angeregten Stickstoffradikalen anstelle von Sauerstoff ausgebildet.

Description

  • 1. Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf die Vorbereitung von Innenflächen eines Kontaktlochs durch Silizium für die Metallisierung. Insbesondere werden eine Innendurchmesserfläche und eine Basiswandfläche jedes Durchgangskontaktlochs mit einer Diffusionssperrschicht mit geringem spezifischem Widerstand beschichtet, um eine Diffusion von unterschiedlichen Materialien durch diese zu verhindern. Eine Dichtungsschicht wird auf die Diffusionssperrschicht aufgebracht, um eine Oxidation der Sperrschicht zu verhindern. Eine Keimbildungsschicht wird auf die Dichtungsschicht aufgebracht. Die Keimbildungsschicht fordert die Kristallkeimbildung des Metallkerns und verringert die Hohlraumbildung während der Metallisierung.
  • 2. Der Stand der Technik
  • Kontaktlöcher durch Silizium werden in mehrlagigen oder dreidimensionalen integrierten Schaltungen (IC) verwendet, um isolierte Schaltungsschichten, die durch elektrisch isolierende dielektrische Schichten voneinander getrennt sind, elektrisch miteinander zu verbinden. Kontaktlöcher durch Silizium oder Durchgangslochkontaktlöcher umfassen Löcher, die durch eine oder mehrere Substratschichte verlaufen, die durch Füllen des Lochs mit einem Material mit geringem spezifischem Widerstand, wie z. B. Kupfer, durch stromlose Abscheidung oder elektrochemisches Plattieren oder ähnliche Metallisierungstechniken metallisiert werden. Der Bedarf an der Herstellung von preiswerteren, kleineren und leichteren elektronischen Produkten mit besserer Leistung treibt den Bedarf an, kleinere Kontaktlöcher zu erzeugen, die auf der Schaltungslandschaft mit einem kleineren Lochabstand verteilt sind. Dies hat zu dem Bedarf geführt, Kontaktlöcher mit einem Durchmesser im Bereich von 12–30 μm mit einer Durchgangslochtiefe oder -länge von 200–600 μm bereitzustellen. Solche Kontaktlöcher werden im Allgemeinen als Kontaktlöcher mit hohem Seitenverhältnis mit einem Verhältnis der Lochtiefe zum Lochdurchmesser von mehr als etwa 10 im Bereich bis zu etwa 50 bezeichnet.
  • Kontaktlöcher werden durch Nassätzen, elektrochemisches Ätzen, durch Laserbohren und in jüngerer Zeit durch Ionenstrahlfräsen oder -ätzen wie z. B. tiefes reaktives Ionenätzen (DRIE) ausgebildet. Die Kontaktlöcher verlaufen vollständig durch ein Siliziumsubstrat und hinterlassen freigelegte interne Siliziumwände, wie ausgebildet. Da die Kontaktlöcher vollständig durch die Substratschicht verlaufen, ist eine Basiswand des Kontaktlochs durch einen leitfähigen Abschnitt einer Schaltungsschicht begrenzt, die an der dielektrischen Substratschicht angehängt oder integral mit dieser ausgebildet ist. Die Löcher werden dann mit einem leitfähigen Material gefüllt (Metallisierung), z. B. Kupfer, Wolfram, Polysilizium, Gold oder dergleichen, durch Elektroplattieren oder dergleichen und das leitfähige Material schafft einen Weg für die elektrische Verbindung zwischen Schaltungsschichten, die durch Substratschichten mit hohem spezifischem Widerstand getrennt sind.
  • Ein kritisches Leistungskriterium eines Kontaktlochs durch Silizium besteht darin, dass die Metallisierung oder der leitfähige Kern einen im Wesentlichen gleichmäßigen uneingeschränkten Stromfluss über den ganzen Durchmesser und entlang der ganzen Länge des leitfähigen Kerns bereitstellt. Faktoren, die den Stromfluss behindern oder anderweitig die Kontaktlochleistung verschlechtern, umfassen die Hohlraumbildung im Füllmaterial und ungleichmäßige Materialeigenschaften (z. B. einen ungleichmäßigen spezifischen Widerstand). Die Hohlraumbildung ist an Grenzen zwischen unterschiedlichen Materialien besonders problematisch, wo eine Metallkristallisierung ungleichmäßig ist. Ungleichmäßige Materialeigenschaften treten auch an Grenzen zwischen unterschiedlichen Materialien auf, wo die unterschiedlichen Materialien über die Grenze diffundieren, wobei die unterschiedlichen Materialien sich vermischen und die physikalischen Eigenschaften verändern. Dies ist bei Kontaktlöchern besonders problematisch, wenn Kupfer oder andere Metallisierungsmaterialien in das Siliziumsubstrat diffundieren und die Leistung verschlechtern.
  • Eine herkömmliche Lösung, um die Diffusion von unterschiedlichen Materialien über Materialgrenzen zu verhindern, besteht darin, eine Diffusionssperrschicht auf einer Kontaktloch-Innendurchmesserfläche und auf seiner Basisfläche aufzubringen, um eine Diffusion über die Substratmetallisierungsgrenze zu verhindern. Da jedoch die Kontaktlöcher metallisiert werden, nachdem das Substrat und die Schaltung gekoppelt sind, muss die auf eine Bodenfläche des Kontaktlochs aufgebrachte Sperrschicht einen relativ niedrigen spezifischen Widerstand aufweisen, da der Stromfluss durch den metallisierten Kern über die Sperrschicht verläuft, die die Kontaktloch-Basisfläche bedeckt. Folglich besteht ein Problem mit einer Sperrschicht, die auf die Kontaktloch-Basisfläche aufgebracht ist, darin, dass, wenn die Sperrschicht nicht einen niedrigen spezifischen Widerstand aufweist, sie den Stromfluss zur Schaltungsschicht behindert. Obwohl herkömmliche Sperrschichten mit niedrigem spezifischem Widerstand aus Nitriden wie z. B. Titannitrid (TiN) und Tantalnitrid (TaN), Kobaltnitrid (CoN) ausgebildet werden können, werden solche Sperrschichten herkömmlich durch Sputtern aufgebracht. Beim Sputtern misslingt es jedoch, eine gute Leistung bei Kontaktlöchern mit hohem Seitenverhältnis bereitzustellen, da das Sputtern außerstande ist, die Kontaktlöcher auf die volle Tiefe zu beschichten. Insbesondere ist das Sputtern jenseits eines Seitenverhältnisses von etwa 8:1 nicht angemessen. Eine Technologie, die eine vollständige Oberflächenbedeckung selbst in Löchern mit sehr hohem Seitenverhältnis bereitstellt, ist jedoch die Atomschichtabscheidung (ALD), die verwendbar ist, um TiN und andere Sperrschichtkandidaten auf Innenflächen von Kontaktlöchern mit hohem Seitenverhältnis aufzubringen.
  • Obwohl bekannt ist, dass leitfähige TiN-Sperrschichten die Diffusion über die Substratmetallisierungsgrenze verhindern und einen annehmbaren Stromfluss über die Basisfläche vorsehen, ist TiN für die Metallisierungshaftung nicht ideal geeignet. Insbesondere ist die Kristallkeimbildung von Kupfer und anderen leitfähigen Metallisierungsmaterialien auf der TiN-Sperrschicht nicht annehmbar. Um die Metallisierungshaftung an TiN-Sperrschichten zu verbessern, ist es bekannt, Edelmetalle wie z. B. Palladium, Platin, Kobalt, Nickel und Rhodium unter anderen auf der Sperrschicht aufzubringen, um eine verbesserte Kupferhaftung bereitzustellen und die Korrosion und Oxidation der Sperrschicht zu verringern. Die Edelmetalle werden jedoch gewöhnlich durch Verfahren der chemischen Gasphasenabscheidung (CVD) oder physikalischen Gasphasenabscheidung (PVD) aufgebracht, die wie Sputtern eine schlechte Bedeckung in Kontaktlöchern mit hohem Seitenverhältnis vorsehen.
  • Ma et al. offenbaren in der US-Patentanmeldung US 2007/0077750 A1 mit dem Titel ATOMIC LAYER DEPOSITION PROCESSES FOR RUTHENIUM MATERIALS, veröffentlicht am 4/5/2007, ein Verfahren zum Ausbilden eines Ruthenium-Materials auf einem Substrat aus dielektrischem Material, einschließlich Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid, mit Kohlenstoff dotierten Siliziumoxiden oder eines SiOxCy-Material-Substrats, sowie Ausbilden einer Ru-Schicht auf einem Sperrschichtmaterial, einschließlich Tantal, Tantalnitrid, Tantalsiliziumnitrid, Titan, Titannitrid, Titansiliziumnitrid, Wolfram oder Wolframnitrid, unter Verwendung von ALD-Prozessen mit einem speziellen Beispiel der Abscheidung von Rutheniummaterial auf Tantalnitrid, das vorher durch einen ALD-Prozess oder Prozess der physikalischen Gasphasenabscheidung (PVD) ausgebildet wurde.
  • Ma et al. offenbaren jedoch, dass Ruthenocen-Verbindungen, wie z. B. Bis(ethylcyclopentadienyl)ruthenium, Bis(cyclopentadienyl)ruthenium und Bis(pentamethylcyclopentadienyl)ruthenium, im Allgemeinen ein Rutheniummaterial mit einem erhöhen elektrischen Widerstand, schlechter Haftung (Durchfallen durch den Klebebandtest), die gewöhnlich hohe Adsorptionstemperaturen von oberhalb 400°C erfordern und unter einer Keimbildungsverzögerung leiden, abscheiden. Folglich schlussfolgern Ma et al., dass Ruthenium-Precursoren, die Pyrrolylliganden enthalten, erwünschter sind und dass Abscheidungstemperaturen unterhalb 350°C erwünschter sind.
  • Ma et al. offenbaren ferner das Ausbilden eines Rutheniummaterials auf einem Substrat durch zuerst Einwirken der Ruthenium-Precursoren, die Pyrrolylliganden enthalten, auf das Substrat und dann Einwirken von Ammoniakplasma, Stickstoffplasma oder Wasserstoffplasma auf das Substrat in einem ALD-System, wobei sich der Plasmagenerator außerhalb des ALD-Systems befindet oder in dieses integriert ist. Insbesondere scheinen Ma et al. zu erkennen, dass, obwohl das Rutheniummaterial unter Verwendung eines Sauerstoff-Precursors aufgebracht werden kann, das Einwirken von Sauerstoff auf die Sperrschichten aufgrund der Oxidation der Sperrschicht schädlich ist.
  • Trotz dieser Erkenntnis offenbaren jedoch MA et al., dass eine Keimschicht auf dem Rutheniummaterial durch einen anfänglichen Abscheidungsprozess abgeschieden wird und eine Masseschicht anschließend durch einen anderen Abscheidungsprozess darauf abgeschieden wird. Mit anderen Worten, die von MA et al. gelehrte Keimschicht wird ex situ durch einen anderen Prozess als ALD oder PEALD aufgebracht.
  • 3. Zusammenfassung der Erfindung
  • Angesichts der Probleme, die mit herkömmlichen Kontaktloch-Oberflächenbeschichtungsverfahren und beschichteten Kontaktlöchern verbunden sind, die vorstehend dargelegt sind, ist es eine Aufgabe der vorliegenden Erfindung, ein Durchgangsloch für die Metallisierung durch Aufbringen einer elektrisch leitfähigen Diffusionssperrschicht auf freiliegenden Flächen des Kontaktlochs durch einen ALD- oder PEALD-Abscheidungsprozess vorzubereiten.
  • Es ist eine weitere Aufgabe der vorliegenden Erfindung, eine elektrisch leitfähige Keimbildungsschicht auf freiliegenden Flächen der Kontaktloch-Diffusionssperrschicht durch einen ALD- oder PEALD-Abscheidungsprozess aufzubringen, um am leitfähigen Kernmaterial während der Metallisierung eine Keimbildung durchzuführen.
  • Es ist eine weitere Aufgabe der vorliegenden Erfindung, die Sperrschicht vor einer Oxidation während des Aufbringens der Keimbildungsschicht durch Aufbringen einer Dichtungsschicht auf der Sperrschicht zwischen der Sperrschicht und der leitfähigen Keimbildungsschicht zu schützen, wobei das Aufbringen der Dichtungsschicht ohne Sauerstoff stattfindet.
  • Die vorstehend beschriebenen Mängel des Standes der Technik werden durch die nachstehend offenbarte elektronische Vorrichtung und die nachstehend offenbarten Beschichtungsverfahren beseitigt.
  • Eine elektronische Vorrichtung weist Durchgangskontaktlöcher auf, die durch eine Innendurchmesserfläche, die durch eine elektrisch isolierende dielektrische Schicht begrenzt ist, und eine Basiswandfläche, die durch einen leitfähigen Abschnitt einer Schaltungsschicht begrenzt ist, gebildet sind. Die Schaltungsschicht ist integral mit der dielektrischen Schicht ausgebildet. Jedes Kontaktloch ist mit einer Titannitrid-(TiN)Sperrschicht mit einer Dicke im Bereich von 20 bis 200 Å beschichtet. Jedes Durchgangsloch ist mit einer Ruthenium-Dichtungsschicht beschichtet, die auf der Titannitrid-Sperrschicht ausgebildet ist, und die Dichtungsschicht wird ohne Sauerstoff ausgebildet. Jedes Durchgangsloch ist mit einer Ruthenium-Keimbildungsschicht beschichtet, die auf der Ruthenium-Dichtungsschicht ausgebildet ist, und die Ruthenium-Keimbildungsschicht wird mit Sauerstoff ausgebildet.
  • Die Ruthenium-Dichtungsschicht hat eine Dicke im Bereich von 5 bis 10 Å. Die Ruthenium-Keimbildungsschicht hat eine Dicke im Bereich von 50 bis 150 Å. Der spezifische Widerstand der Ruthenium-Keimbildungsschicht ist geringer als der spezifische Widerstand der Ruthenium-Dichtungsschicht. Jedes der Durchgangslöcher ist mit Kupfer metallisiert, das auf der Ruthenium-Keimbildungsschicht aufgebracht ist.
  • Ein Verfahren zum Vorbereiten eines Substrats zur Metallisierung umfasst das Beschichten einer Vielzahl von Durchgangskontaktlöchern, die im Substrat ausgebildet sind, wie z. B. einer elektrisch isolierenden dielektrischen Schicht. Materialschichten werden auf einer Innendurchmesserfläche und einer Basiswandfläche jedes Durchgangslochs aufgebracht.
  • Ein Substrat, das die Durchgangskontaktlöcher umfasst, wird innerhalb einer Prozesskammer angeordnet, die zum Aufbringen von Materialabscheidungsschichten durch Atomschichtabscheidung (ALD) und durch plasmagestützte Atomschichtabscheidung (PEALD) geeignet ist.
  • Eine Sperrschicht, die ein erstes Material aufweist, wird auf der Innendurchmesserfläche und der Basiswandfläche ausgebildet. Das erste Material hat einen spezifischen Widerstand von weniger als 300 μOhm-cm und wird mit einer ausreichenden Dicke aufgebracht, um im Wesentlichen eine Diffusion eines Metallisierungsmaterials durch die Sperrschicht zu verhindern.
  • Eine Dichtungsschicht, die ein zweites Material aufweist, wird auf der ganzen Sperrschicht aufgebracht. Das zweite Material hat einen spezifischen Widerstand von weniger als 300 μOhm-cm. Die Abscheidung der Dichtungsschicht wird im Wesentlichen ohne Verursachen einer Oxidation der ersten Materialschicht ausgeführt.
  • Eine Keimbildungsschicht, die das zweite Material aufweist, wird auf der ganzen Dichtungsschicht aufgebracht. Die Abscheidung der Keimbildungsschicht weist das Oxidieren von Kohlenstoff auf.
  • Während der Abscheidung jeder Schicht liegt die Prozesskammer auf einem Gasdruck von weniger als 1 Torr und alle drei Schichten werden ohne Entfernen des Substrats aus der Prozesskammer ausgebildet. Das Substrat wird auf einer im Wesentlichen konstanten Temperatur zwischen 200 und 400°C während der Ausbildung aller Schichten gehalten.
  • Die Sperrschicht wird ausgebildet aus Titannitrid, Titan, Tantalnitrid, Tantal, Wolframnitrid, Kobaltnitrid oder Wolfram und kann entweder durch ALD oder PEALD ausgebildet werden. Precursoren, die verwendet werden, um die Titannitrid-Sperrschicht auszubilden, umfassen Tetrakis(dimethylamido)titan (TDMAT) und Stickstoff.
  • Die Dichtungsschicht wird aus Ruthenium ausgebildet, das durch PEALD ohne Sauerstoff abgeschieden wird. Die Dichtungsschicht wird unter Verwendung eines ersten Precursors, der eine Ruthenocen-Verbindung aufweist, und eines zweiten Precursors, der durch Plasma angeregte Stickstoffradikalen aufweist, aufgebracht und kein Sauerstoff wird verwendet.
  • Die Keimbildungsschicht wird auch aus Ruthenium ausgebildet, außer dass die Keimbildungsschicht durch thermische ALD mit Sauerstoff ausgebildet wird. Die Keimbildungsschicht wird unter Verwendung eines ersten Precursors, der eine Ruthenocen-Verbindung aufweist, und eines zweiten Precursors, der nicht-radikalischen Sauerstoff aufweist, ausgebildet.
  • Nach dem Ausbilden der Sperrschicht, der Dichtungsschicht und der Keimbildungsschicht wird das Substrat aus der Prozesskammer entfernt für die Ex-situ-Metallisierung des Durchgangslochs mit Massekupfer.
  • Diese und weitere Aspekte und Vorteile werden ersichtlich, wenn die nachstehende Beschreibung in Verbindung mit den zugehörigen Zeichnungen gelesen wird.
  • 4. Kurzbeschreibung der Zeichnungen
  • Die Merkmale der vorliegenden Erfindung werden aus einer ausführlichen Beschreibung der Erfindung und Beispielausführungsformen davon am besten verstanden, die für die Zwecke der Erläuterung ausgewählt sind und in den zugehörigen Zeichnungen gezeigt sind, in denen gilt:
  • 1 stellt ein beispielhaftes schematisches Diagramm einer Substratschicht und einer anhängenden Schaltungsschicht dar, das die Struktur von Durchgangskontaktlöchern gemäß der vorliegenden Erfindung zeigt.
  • 2 stellt ein beispielhaftes schematisches Diagramm einer Prozesskammer und von zugehörigen Modulen dar, die für das Aufbringen von Materialabscheidungsschichten auf Kontaktlochflächen durch thermische Atomschichtabscheidung (ALD) und plasmagestützte Atomschichtabscheidung (PEALD) geeignet sind.
  • 5. Definitionen
  • Die folgenden Definitionen werden durchweg verwendet, wenn nicht speziell anders angegeben:
    BEGRIFF DEFINITION
    TDMAT Eine metallorganische Spezies, die Tetrakis(dimethylamido)titan genannt wird, mit einer chemischen Formel C8H24N4Ti. Ihre Eigenschaften werden durch die organischen Liganden stark beeinflusst, aber der Verbindung fehlen Metall-Kohlenstoff-Bindungen.
    ALD Atomschichtabscheidung oder thermische Atomschichtabscheidung.
    PEALD Plasmagestützte Atomschichtabscheidung, wobei mindestens ein Precursor durch Plasma erzeugte Radikale sind.
    Ruthenocen-Verbindungen Ein chemischer Precursor, der zum Ausbilden von Ru durch ALD und PEALD geeignet ist. Umfasst zumindest Bis(ethylcyclopentadienyl)ruthenium, Bis(cyclopentadienyl)ruthenium und Bis(pentamethylcyclopentadienyl).
  • 6. Elementnummerliste
  • Die folgenden Elementnummern werden durchweg verwendet, wenn nicht speziell anders angegeben.
    # BESCHREIBUNG # BESCHREIBUNG
    100 Substrat 230 Absperrventil
    105 1. Schaltungsschicht 235 1. Precursor-Einlassanschluss
    110 Dielektrische Schicht 240 2. Precursor-Einlassanschluss
    115 Durchgangskontaktloch 245 Plasma-generator
    120 1. leitfähiger Abschnitt 250 Obere Öffnung
    125 2. Schaltungsschicht 255 Gaszufuhrmodul
    130 2. leitfähiger Abschnitt 260 Gasversorgungsmodul
    135 Leitfähiger Metallkern 265 Austrittsanschluss
    150 Sperrschicht 270 Vakuumpumpe
    155 Dichtungsschicht 275 Austrittsanschlussmodul
    160 Keimbildungsschicht 280 Elektronische Steuereinheit
    285 Austrittsventil
    290 Druckwandler
    200 Gasabscheidungssystem 295 Temperatursensor
    205 Kammerwand
    210 Prozesskammer
    215 Stützaufspannvorrichtung
    220 Stützfläche
    225 Ladeanschluss
  • 7. Beispielhafte Durchgangskontaktlochstruktur
  • Mit Bezug auf 1 ist nun ein Abschnitt einer mehrlagigen (3-dimensionalen) integrierten Schaltung (IC) oder eines Substrats (100) schematisch in einer Seitenschnittansicht gemäß einer nicht begrenzenden beispielhaften Ausführungsform der vorliegenden Erfindung gezeigt. Das Substrat (100) umfasst eine erste Schaltungsschicht (105), die eine Halbleitermaterial-Masseschicht aufweist, die mit elektrischen Verbindungsmustern und elektrischen Komponentenmustern strukturiert ist, die in einer oder mehreren dielektrischen Materialschichten definiert sind, und eines oder mehrere der Verbindungsmuster ist an einer elektrisch leitfähigen Schicht oder an leitfähigen Schichtabschnitten (120) abgeschlossen. Die Schaltungsmasseschicht weist ein Halbleitermaterial auf, wie z. B. Silizium, Germanium, Galliumarsenid oder dergleichen.
  • Das Substrat (100) umfasst ferner eine elektrisch isolierende dielektrische Schicht (110) mit elektrisch isolierenden Materialien wie z. B. Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid und/oder mit Kohlenstoff dotierten Siliziumoxiden wie z. B. SiOxCy oder dergleichen.
  • Eine Vielzahl von Durchgangskontaktlöchern (115) sind so, dass sie vollständig durch die dielektrische Schicht (110) verlaufen, an Stellen ausgebildet, die den elektrisch leitfähigen Abschnitten (120) entsprechen. Alternativ können sich die elektrisch leitfähigen Abschnitte (120) als einzelne leitfähige Materialschicht, die zwischen der isolierenden dielektrischen Schicht (110) und der Halbleiterschaltungsschicht (105) angeordnet ist, erstrecken.
  • Wie von Fachleuten auf dem Gebiet erkannt wird, wird schließlich eine zweite Halbleiterschaltungsschicht (125), die in Durchsicht gezeigt ist, als gepaarter Kontakt zu der dielektrischen Schicht (110), die zur ersten Schaltungsschicht (105) entgegengesetzt ist, ausgebildet oder montiert und die zweite Schaltungsschicht umfasst zweite leitfähige Abschnitte (130) (oder eine leitfähige Schicht), die so positioniert sind, dass sie mit jedem Durchgangskontaktloch (115) entgegengesetzt zu den ersten leitfähigen Kontaktstellen (120) einen Kontakt herstellen.
  • Folglich weist jedes Durchgangskontaktloch (115) ein Durchgangsloch auf, das so ausgebildet ist, dass es sich vollständig durch die elektrisch isolierende dielektrische Schicht (110) erstreckt, so dass die ersten leitfähigen Abschnitte (120) durch die Ausbildung jedes Durchgangslochs (115) freigelegt werden. Das Durchgangsloch umfasst daher eine Innendurchmesserfläche, die durch das elektrisch isolierende Material der dielektrischen Schicht (110) begrenzt ist, und eine Basisfläche, die durch das elektrisch leitfähige Material von einem der ersten leitfähigen Abschnitte (120) begrenzt ist.
  • Die Durchgangslöcher werden durch eine oder mehrere herkömmliche Kontaktloch-Ausbildungstechniken ausgebildet, einschließlich, jedoch nicht begrenzt darauf, dass sie ausgebildet werden durch Nassätzen, elektromechanisches Ätzen, durch Laserbohren und/oder durch Ionenstrahlfräsen oder -ätzen, wie z. B. tiefes reaktives Ionenätzen (DRIE). Jedes Durchgangsloch wird schließlich mit einem leitfähigen Material gefüllt (Metallisierung), das einen leitfähigen Kern (135) bildet. Beispiel-Kernmaterialien umfassen Kupfer, Wolfram, Polysilizium, Gold, in der vorliegenden Ausführungsform ist jedoch Kupfer bevorzugt. Die Metallkernmaterialien sind durch herkömmliche stromlose und elektrochemische Plattierungsprozesse ausbildbar. Der leitfähige Materialkern (135) schafft einen leitfähigen Pfad, der sich von einem ersten leitfähigen Abschnitt (120) zu einem entsprechenden gegenüberliegenden zweiten leitfähigen Abschnitt (130) erstreckt. Im Betrieb fließt der elektrische Strom durch den leitfähigen Materialkern (135), um eine elektrische Verbindung zwischen der ersten Schaltungsschicht (105) und der zweiten Schaltungsschicht (125) bereitzustellen.
  • Eine Schlüsselanforderung bei der Kontaktlochausbildung besteht darin, einen leitfähigen Metallkern (135) vorzusehen, der einen gleichmäßigen uneingeschränkten Stromfluss über den ganzen Durchmesser und über die ganze Länge des Kerns (135) ermöglicht. Faktoren, die den Stromfluss behindern oder anderweitig die Kontaktlochleistung verschlechtern, umfassen eine Hohlraumbildung im leitfähigen Kern (135) und/oder ungleichmäßige Materialeigenschaften entlang der Länge oder über den Durchmesser des Kerns, z. B. einen ungleichmäßigen spezifischen Widerstand. Ein Schlüsselfaktor bei der Hohlraumbildung während der Metallisierung ist eine schlechte Haftung der leitfähigen Kernmaterialien an der Innendurchmesserfläche und Basiswandfläche des Durchgangslochs. Dieses Problem wird durch die vorliegende Erfindung durch Vorsehen einer Keimbildungs- oder Keimschicht (160) [durchgezogen schwarz)] als gepaarter Kontakt zu dem Kern (135) sowohl auf der Innendurchmesserfläche als auch der Basiswandfläche des Kontaktlochs (115) gelöst. Die Keimbildungsschicht (160) ist dazu ausgelegt, die Kristallisierung von metallischen Leitern, die zum Metallisieren des Kerns verwendet werden, einzuleiten. Die Anwesenheit der Keimbildungsschicht (160) verbessert die Haftung des Materials des Metallkerns (135) an den Innendurchmesser- und Basiswandflächen des Durchgangslochs und dies verringert die Hohlraumbildung an den Grenzkanten des Kerns (135). Insbesondere bildet die vorliegende Erfindung die Keimbildungsschicht durch einen In-situ-Atomschichtabscheidungsprozess aus.
  • Ein Schlüsselfaktor beim Erzeugen von ungleichmäßigen Materialeigenschaften in und um den Kern (135) ist eine Diffusion des leitfähigen Kernmaterials in das elektrisch isolierende dielektrische Material der dielektrischen Schicht (110) während der Metallisierung. Dieses Problem wird durch die vorliegende Erfindung durch Vorsehen einer Diffusionssperrschicht (150) [durchgezogen grau] innerhalb des Kontaktlochs auf der Durchgangsloch-Innendurchmesserfläche und -Basiswandfläche gelöst, wobei die Diffusionssperrschicht (150) durch ALD oder PEALD abgeschieden wird. Die Diffusionsschicht (150) wird mit einer ausreichenden Materialdicke ausgebildet, um im Wesentlichen zu verhindern, dass unterschiedliche Materialien, insbesondere Kupfer, die Diffusionsschicht (150) durchqueren. Die Diffusionsschicht (150) wird aus einem Material mit einem spezifischen Widerstand von weniger als etwa 300 Ohm-cm ausgebildet, um den elektrischen Stromfluss durch die Basisfläche der Diffusionsschicht (150) an der elektrischen Grenzfläche zwischen dem leitfähigen Kern (135) und dem ersten leitfähigen Abschnitt (120) minimal zu behindern. Vorzugsweise wird die Diffusionsschicht (150) aus einem Material ausgebildet, das aufgebracht werden kann durch einen thermischen ALD-Prozess oder einen PEALD-Prozess bei Reaktionstemperaturen von weniger als 500°C und vorzugsweise innerhalb eines Reaktionstemperaturbereichs von 250 bis 350°C.
  • Gemäß einem nicht begrenzenden beispielhaften Aspekt der vorliegenden Erfindung werden die Durchgangskontaktlöcher (115) wie folgt ausgebildet. Jedes Durchgangsloch wird durch eine vorstehend beschriebene geeignete Lochausbildungstechnik ausgebildet. Obwohl verschiedene Durchgangskontaktlöcher (115) dieselben oder verschiedene Lochdurchmesser aufweisen können, liegt der Durchmesser jedes gegebenen Durchgangslochs vorzugsweise im Bereich zwischen 12 und 30 μm, aber Durchgangslöcher mit größerem Durchmesser können durch die vorliegende Erfindung bearbeitet werden. Die Tiefe oder Länge jedes Durchgangslochs (115) ist im Wesentlichen gleich einer Dicke der dielektrischen Schicht (110), die in den vorliegenden nicht begrenzenden Beispielausführungsformen zwischen 200 und 600 μm für Kontaktlöcher mit hohem Seitenverhältnis liegt, aber Durchgangslöcher mit kürzerer Länge können durch die vorliegende Erfindung bearbeitet werden. Eine Mitten-Mitten-Abstandsabmessung zwischen Durchgangslöchern (115) ist 50 μm oder darüber, aber Durchgangslöcher mit kleinerer Mittenabstandsabmessung können durch die vorliegende Erfindung bearbeitet werden. Folglich ist die vorliegende Erfindung für Kontaktlöcher mit sehr hohem Seitenverhältnis mit einem Seitenverhältnis des Lochdurchmessers zur Lochtiefe im Bereich von bis zu 50 oder höher geeignet, falls Kontaktlöcher mit höherem Seitenverhältnis ausgebildet werden können.
  • Jedes Kontaktloch (115) umfasst eine Diffusionssperrschicht (150), die direkt auf Innenflächen des Kontaktlochs aufgebracht ist, einschließlich auf der Innendurchmesserfläche, die durch die dielektrische Schicht (110) gebildet ist, und auf der Durchgangslochbasisfläche, die durch den leitfähigen Abschnitt (120) gebildet ist. Die Sperrschicht (150) wird so ausgebildet, dass die Diffusion von Metallmetallisierungsmaterialien, vorzugsweise Kupfer, durch die Sperrschicht (150) während der Kernmetallisierung verhindert oder im Wesentlichen minimiert wird. Die Sperrschicht (150) weist ein Material auf mit einem ausreichend geringen spezifischen Widerstand, um einen im Wesentlichen unbehinderten Stromfluss über die Basisfläche der Diffusionsschicht vorzusehen. Bei einer nicht begrenzenden Beispielausführungsform weist die Sperrschicht (150) Titannitrid (TiN) auf, das auf eine Schichtdicke im Bereich von 20 bis 200 Å (2 bis 20 nm) aufgebracht wird. Die TiN-Sperrschicht (150) wird entweder durch einen thermischen Atomschichtabscheidung-(ALD)Prozess oder einen plasmagestützten Atomschichtabscheidungs-(PEALD)Prozess aufgebracht. Alternativ weist die Sperrschicht (150) eines von TiN auf, das bis zu einer Schichtdicke im Bereich von 20 bis 200 Å (2 bis 20 nm) durch einen plasmagestützten Atomschichtabscheidung-(PEALD)Prozess aufgebracht wird. Andere Beispiel-Sperrschichtmaterialien, die für die vorliegende Erfindung geeignet sind, umfassen Titan, Tantalnitrid, Tantal, Wolframnitrid und Wolfram, das durch einen ALD- oder PEALD-Prozess ausgebildet wird. In jedem Fall liegt der spezifische Widerstand der Sperrschicht unter 300 Ohm-cm und vorzugsweise
  • Jedes Kontaktloch (115) umfasst eine Dichtungsschicht (155) [weißer Bereich], die direkt auf der Diffusionssperrschicht (150) zwischen der Sperrschicht (150) und einer Keimbildungsschicht (160), die nachstehend ausführlich erläutert wird, aufgebracht ist. Die Dichtungsschicht (155) ist auf der Innendurchmesserfläche und der Basiswandfläche der Sperrschicht (150) im Durchgangsloch (115) aufgebracht und weist ein Material mit einem ausreichend niedrigen spezifischen Widerstand auf, z. B. mit einem spezifischen Widerstand von weniger als 300 Ohm-cm, um einen im Wesentlichen unbehinderten Stromfluss durch die Basiswandfläche zu ermöglichen. Die Dichtungsschicht (155) wird ohne Sauerstoff ausgebildet und wird speziell auf der Sperrschicht aufgebracht, um die Oxidation des Sperrschichtmaterials während des Aufbringens der Keimbildungsschicht (160) zu verhindern, die, wie nachstehend beschrieben wird, mit Sauerstoff abgeschieden wird. Die Oxidation der Sperrschicht erhöht gewöhnlich den spezifischen Widerstand der Sperrschicht, was wiederum den Stromfluss durch die Sperrschicht (150) durch die Basisfläche behindert.
  • Die Dichtungsschicht (155) weist Ruthenium (Ru) auf, das mit einer ausreichenden Schichtdicke aufgebracht wird, um zu verhindern, dass Sauerstoff mit Flächen der Sperrschicht während des Aufbringens der Keimbildungsschicht (160) reagiert. Bei der vorliegenden nicht begrenzenden Beispielausführungsform wird eine Dichtungsschicht (155), die Ru aufweist, mit einer Schichtdicke im Bereich von 5 bis 10 Å (0,5 bis 1,0 nm) aufgebracht, während das Aufbringen der Dichtungsschicht durchgeführt wird, ohne dass das Sperrschichtmaterial Sauerstoff ausgesetzt wird. Die Dichtungsschicht (155) wird durch einen PEALD-Prozess unter Verwendung eines ersten Ruthenium-Precursors, der eine Ruthenocen-Verbindung aufweist, wie z. B. einem oder mehreren von Bis(ethylcyclopentadienyl)ruthenium, Bis(cyclopentadienyl)ruthenium und Bis(pentamethylcyclopentadienyl)ruthenium ausgebildet. Danach wird ein zweiter Precursor, der ein durch Plasma angeregtes Stickstoffradikal aufweist, in die Prozesskammer eingeführt, um eine einzelne Monoschicht von Ru zu vollenden, und der zweite Precursor wird durch Plasma angeregtes N2-Gas, Ammoniak (NH3) oder Hydrazin oder Kombinationen davon erzeugt.
  • Jedes Kontaktloch (115) umfasst eine Keimbildungsschicht (160), die direkt auf der Dichtungsschicht (155) auf der Innendurchmesserfläche und der Basiswandfläche der Sperrschicht (150) in den Durchgangslöchern (115) aufgebracht ist. Die Keimbildungsschicht (160) weist ein Material auf mit einem ausreichend niedrigen spezifischen Widerstand, um einen im Wesentlichen ungehinderten Stromfluss durch die Basisfläche der Keimbildungsschicht vorzusehen, z. B. geringer als 300 Ohm-cm. Die Keimbildungsschicht (160) ist zwischen dem leitfähigen Kern (135) und der Dichtungsschicht (155) angeordnet und ist speziell vorgesehen, um beim Kristallwachstum des Materials des leitfähigen Kerns während der Metallisierung eine Keimbildung durchzuführen. Bei der vorliegenden nicht begrenzenden Beispielausführungsform ist das Material der Keimbildungsschicht Ru, das durch einen thermischen ALD-Prozess aufgebracht wird, der das Oxidieren von Kohlenstoff umfasst. Die Keimbildungsschicht wird bis zu einer Dicke im Bereich von 50 bis 150 Å (5–15 nm) aufgebracht. Obwohl die Dichtungsschicht (155) und die Keimbildungsschicht (600) beide Ru-Schichten sind, ist der spezifische Widerstand der Keimbildungsschicht aufgrund der unterschiedlichen Abscheidungsprozesse geringer als der spezifische Widerstand der Dichtungsschicht. Der niedrigere spezifische Widerstand in der Keimbildungsschicht (160) tritt teilweise deswegen auf, weil die Ruthenium-Precursor-Liganden mit Sauerstoff stärker reaktionsfähig sind als mit Stickstoff. Folglich wird die Keimbildungsschicht (160), die mit Sauerstoff ausgebildet wird, mit verringerten Störstellen und einem entsprechenden verringerten spezifischen Widerstand ausgebildet im Vergleich zur Dichtungsschicht (155), die mit Stickstoff ausgebildet wird. Die Störstellenverringerung in der Keimbildungsschicht verbessert weiter die Kupferkeimbildung während der Metallisierung.
  • Obwohl Ru das bevorzugte Material zum Ausbilden der Keimschicht und der Keimbildungsschicht aus verschiedenen Chemien ist, sind andere Materialkandidaten verwendbar, ohne von der vorliegenden Erfindung abzuweichen, und diese umfassen, sind jedoch nicht begrenzt auf, Palladium (Pd), Platin (Pt), Rhodium (Rh), Iridium (Ir), Silber (Ag), Kobalt (Co), Molybdän (Mo), Chrom (Cr) und Wolfram (W).
  • Jedes Kontaktloch (115) umfasst einen leitfähigen Metallkern (135). Bei der vorliegenden nicht begrenzenden Beispielausführungsform weist der Metallkern (135) Massekupfer auf und der Massekupferkern (135) wird durch einen herkömmlichen stromlosen Abscheidungsprozess ausgebildet unter Verwendung einer Redoxreaktion, eines physikalischen Abscheidungsprozesses, eines Elektronenstrahl-Verdampfungsprozesses, eines elektrochemischen Plattierungs-(ECP)Prozesses, eines Prozesses der chemischen Gasphasenabscheidung (CVD) oder dergleichen; der ex situ durchgeführt wird. Außerdem ist ein anderes leitfähiges Kernmaterial wie z. B. Wolfram, Polysilizium und Gold verwendbar, ohne von der vorliegenden Erfindung abzuweichen.
  • Insbesondere werden die Sperrschicht (150), die Dichtungsschicht (155) und die Keimbildungsschicht (160) in derselben ALD-Prozesskammer ausgebildet, ohne das Substrat (100) aus der ALD-Prozesskammer zu entfernen. Überdies umfasst die ALD-Prozesskammer einen Plasmagenerator und ist dazu ausgelegt, Materialabscheidungszyklen durch thermische ALD und/oder durch PEALD auszuführen. Nachdem das Aufbringen der Sperrschicht, der Dichtungsschicht und der Keimbildungsschicht vollendet ist, wird das Substrat (100) aus der ALD-Prozesskammer entfernt zu einer anderen Station zur Metallisierung des Kerns mit Kupfer. Andere Kernmetallisierungsmaterialien sind auch verwendbar.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung werden die Sperrschicht (150), die Dichtungsschicht (155) und die Keimbildungsschicht (160) durch verschiedene Atomschichtabscheidung-(ALD) und plasmagestützte Atomschichtabscheidung-(PEALD)Prozesse aufgebracht. Insbesondere wird die Titannitrid-Sperrschicht (150) auf allen Durchgangskontaktlöchern gleichzeitig durch eine erste ALD-Beschichtungssequenz ausgebildet, die Ruthenium-Dichtungsschicht (155) wird auf der Sperrschicht (150) aller Durchgangskontaktlöcher gleichzeitig durch eine zweite PEALD-Beschichtungssequenz ausgebildet, die ausgeführt wird, ohne dass die Sperrschicht Sauerstoffausgesetzt wird, und die Keimbildungsschicht (160) wird auf der Dichtungsschicht (150) aller Durchgangskontaktlöcher gleichzeitig durch eine dritte ALD-Beschichtungssequenz ausgebildet, die das Oxidieren von Kohlenstoff umfasst.
  • 8. Beispielhaftes Gasabscheidungssystem und Betriebsmodi
  • Gemäß der vorliegenden Erfindung werden das Substrat (100), das die elektrisch isolierende dielektrische Schicht (110) aufweist, und die anhängende Schaltungsschicht (105) durch herkömmliche Schaltungsfertigungstechniken, die gut bekannt sind, vorgefertigt. Bei einer nicht begrenzenden Beispielausführungsform weist die dielektrische Schicht (110) ein elektrisch isolierendes dielektrisches Material auf, wie z. B. Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid und/oder mit Kohlenstoff dotierte Siliziumoxide, wie z. B. SiOxCy oder dergleichen. Das Substrat (100) kann einen scheibenförmigen Wafer mit einem Durchmesser von 25, 50, 100, 200 oder 300 mm aufweisen. Die dielektrische Schicht (110) kann jedoch andere Formen aufweisen und aus anderen Materialien ausgebildet sein, ohne von der vorliegenden Erfindung abzuweichen.
  • Mit Bezug auf 2 ist eine Seitenschnittansicht eines nicht begrenzenden beispielhaften Gasabscheidungssystems (200) schematisch gezeigt. Das System (200) weist eine äußere Kammerwand (205) auf, die eine Prozesskammer (210) umschließt. Eine Stützaufspannvorrichtung (215), die innerhalb der Prozesskammer (210) angeordnet ist, sieht eine Stützfläche (220) zum Abstützen eines Substrats (100) darauf während Gasabscheidungs-Beschichtungszyklen vor. Die Stützaufspannvorrichtung (215) kann ferner elektrische Widerstandsheizelemente (222) umfassen, die unter der Stützfläche (220) angeordnet sind, die betriebsfähig sind, um das Substrat (100), das auf der Stützfläche (220) abgestützt ist, auf eine gewünschte Reaktionstemperatur zu erhitzen, wie es durch die speziellen Gasabscheidungs-Beschichtungsmaterialien und Gasabscheidungsprozesse, die ausgeführt werden, erforderlich sein kann.
  • Das System (200) umfasst einen Ladeanschluss (225) mit einem Anschlussabsperrventil (230), das verwendbar ist, um ein Substrat (100), das durch Gasabscheidung beschichtet werden soll, durch die äußere Kammerwand (205) zu führen, um ein oder mehrere Substrate (100), die durch Abscheidung beschichtet werden sollen, auf der Stützfläche (230) abzulegen. Das Laden und Entladen der Substrate können manuell durchgeführt werden, z. B. unter Verwendung einer Waferpinzette oder dergleichen, um Substrate, die durch Abscheidung beschichtet werden sollen, durch das Anschlussabsperrventil (230) und den Ladeanschluss (225) zu führen. Alternativ kann eine automatisierte Waferlade- und -entladeeinrichtung, nicht gezeigt, in Kombination mit dem Abscheidungssystem (200) verwendet werden und betriebsfähig sein, um automatisch Substrate am Beginn eines Gasabscheidungsbeschichtungszyklus zu laden und automatisch Substrate am Ende des Gasabscheidungsbeschichtungszyklus zu entfernen. Insbesondere ermöglicht ein automatisiertes Lade- und Entladesystem vorteilhafterweise das Laden und Entladen von Substraten ohne Unterbrechen des Vakuums, wodurch die Abpumpzeiten zwischen Abscheidungszyklen verkürzt werden.
  • Das System (200) weist einen Nicht-Plasma-Precursor-Einlassanschluss (235) auf, der direkt durch die Außenwand (205) verläuft, zum Zuführen eines ersten und/oder eines zweiten Precursors direkt in die Prozesskammer (210) ohne Plasmaanregung. Das System (200) weist einen Plasmaprecursor-Einlassanschluss (240) auf, der durch eine Außenwand eines Plasmageneratormoduls (245) verläuft, zum Zuführen eines ersten oder zweiten Precursors in das Plasmageneratormodul (245) zur Plasmaanregung. Precursoren, die in das Plasmageneratormodul (245) zugeführt werden, treten in die Prozesskammer (210) durch eine obere Öffnung (250) ein.
  • Jeder der Precursor-Einlassanschlüsse steht mit einem Prozessgaszufuhrmodul (255) und einem zugehörigen Prozessgasversorgungsmodul (260) in Fluidverbindung. Das Prozessgasversorgungsmodul (260) nimmt Behälter auf, die mit verschiedenen Prozessmaterialien gefüllt sind, die Behälter umfassen können, die mit Prozessmaterialien im flüssigen, festen und gasförmigen Zustand gefüllt sind. Das Prozessgaszufuhrmodul (255) umfasst einen oder mehrere Blasenerzeuger oder dergleichen, nicht gezeigt, zum Erzeugen von dampfförmigen Precursor-Versorgungen, die z. B. von festen oder flüssigen Precursor-Quellenmaterialien gewonnen werden, und verschiedene Durchflusssteuerelemente, einschließlich Pulsventilen, nicht gezeigt, zum Zuführen von Pulsen von Precursordampf zu geeigneten Precursor-Anschlüssen (235) und (240), wobei jeder Precursorpuls ein gewünschtes Pulsvolumen aufweist, das eine Menge an Precursordampf liefert, die für den speziellen ALD- oder PEALD-Beschichtungsprozess, der ausgeführt wird, geeignet ist.
  • Außerdem umfasst das Prozessgasversorgungsmodul (260) eine Inertgasversorgung oder ist mit einer solchen verbunden und das Gaszufuhrmodul (255) ist dazu ausgelegt, Inertgas zu jedem der Precursor-Anschlüsse (235) und (240) zuzuführen. Die Inertgasströmung wird durch das Gaszufuhrmodul (255) moduliert, das betriebsfähig ist, um den Druck und die Durchflussrate des Inertgases zu steuern, wie erforderlich, um eine kontinuierliche Strömung von Inertgas durch jeden Precursor-Anschluss zuzuführen, oder die Inertgasströmung zu modulieren, um eine diskontinuierliche Inertgasströmung in die Prozesskammer (210) durch einen oder beide der Precursor-Einlassanschlüsse (235) und (240) zuzuführen. In jedem Fall kann die Inertgasströmung als Trägergas zum Tragen von Precursordampf zur Prozesskammer (210) verwendet werden. Außerdem wird nur ein Inertgas durch die Prozesskammer geleitet, um die Prozesskammer (210) zwischen Precursorzyklen zu spülen oder zu reinigen.
  • Das PEALD-System (200) weist einen Austrittsanschluss (265) in Fluidverbindung mit einer Vakuumpumpe (270) auf und die Vakuumpumpe (270) arbeitet, um die Prozesskammer (210) durch Entfernen von Gasen aus der Prozesskammer durch den Austrittsanschluss (265) auszupumpen. Die aus der Prozesskammer entfernten Gase umfassen jedes nicht zur Reaktion gebrachtes Precursormaterial und/oder jede Reaktionsnebenprodukte eines Abscheidungsbeschichtungszyklus. Außerdem umfasst ein Austrittsanschlussmodul (275) einen Druckmesser (290) oder dergleichen, um lokale Gasdruckmesswerte zu einer elektronischen Steuereinheit (280) zu liefern, und ein Vakuumventilmodul (285), das durch die elektronische Steuereinheit (280) betriebsfähig ist, um eine Leitung abzudichten, die zur Vakuumpumpe führt. Außerdem sind einer oder mehrere Temperatursensoren (295) vorgesehen, um die lokale Temperatur zu überwachen und Temperaturinformationen an die elektronische Steuereinheit (280) zu melden.
  • Im Betrieb ist das System (200) verwendbar, um Dünnfilmmaterialbeschichtungen auf das vorstehend beschriebene Substrat (100) aufzubringen. Das Substrat (100) wird auf der Stützaufspannvorrichtung (215) abgestützt, wobei die erste Schaltungsschicht (105) mit der Stützfläche (220) in Kontakt steht und die dielektrische Schicht (110) in Richtung der oberen Öffnung (250) nach oben gewandt ist. Prozessgas, das in die Kammer (210) durch den Precursor-Anschluss (235) und die obere Öffnung (250) eintritt, dehnt sich aus, um die Kammer (210) zu füllen, und trifft auf eine Oberfläche der dielektrischen Schicht (110) auf und ein Teil des Prozessgases tritt in die Durchgangslöcher (115) ein, um mit Oberflächen davon zu reagieren. Die Prozessgase reagieren mit beliebigen freiliegenden Flächen des Substrats (100) und bilden Dünnfilmabscheidungsschichten auf allen freiliegenden Flächen, die zumindest die Oberfläche der Substratschicht (110) und die Innenwandflächen der Kontaktlöcher (115) mit der durch die ersten leitfähigen Abschnitte (120) gebildeten Basisfläche umfassen.
  • Wie gut bekannt ist, basiert jeder ALD-Beschichtungszyklus auf zwei selbstbegrenzenden Reaktionen. Eine erste selbstbegrenzende Reaktion zwischen einem ersten Precursor und freiliegenden Flächen eines Substrats erzeugt eine erste halbe Monoschicht aus festem Material auf den freiliegenden Flächen des Substrats und eine zweite selbstbegrenzende Reaktion zwischen einem zweiten Precursor und freiliegenden Flächen des Substrats erzeugt eine zweite halbe Monoschicht des festen Materials auf den freiliegenden Flächen des Substrats. Insbesondere werden zwei separate und unabhängige selbstbegrenzende Precursorreaktionen mit den freiliegenden Flächen durchgeführt, um eine einzelne Monoschicht eines gewünschten Materials auf den freiliegenden Flächen abzuscheiden. Aufgrund der selbstbegrenzenden Art der Reaktion ist überdies die Dicke der einzelnen Materialmonoschicht im Wesentlichen vorbestimmt und ungefähr gleich einer einzelnen Atomschicht des Materials, z. B. weist jede Monoschicht eine ungefähre Dicke von 0,5 bis 1,5 Å in Abhängigkeit von verschiedenen Wachstumsbedingungen, zumindest einschließlich der Temperatur, des Precursordampfdrucks und -volumens, des Gasdrucks innerhalb der Prozesskammer und der Einwirkungszeit, auf. Da in den meisten Anwendungen mindestens 5 Monoschichtaufbringungen erforderlich sind, um eine minimale funktionale Materialbeschichtungsdicke bereitzustellen, werden die zwei selbstbegrenzenden Reaktionen 5-mal wiederholt, um 5 Monoschichten des Beschichtungsmaterials, das abgeschieden wird, abzuscheiden. Allgemeiner werden jedoch ALD-Beschichtungsdicken von 100 bis 200 Monoschichten und in einigen Fällen bis zu etwa 1000 Monoschichten verwendet, um Substrate mit der gewünschten Oberflächenbeschichtung zu beschichten, um irgendeine Materialeigenschaft zu nutzen, welche auch immer die Oberflächenbeschichtung bereitstellt.
  • Das System (200) ist zum automatisierten Beschichtungszyklusbetrieb auf der Basis von Betriebsmodusmenüs oder dergleichen, die in der elektronischen Steuereinheit (280) gespeichert sind und durch einen Benutzer auswählbar oder programmierbar sind, ausgelegt. Bei einem nicht begrenzenden Beispiel kann ein Benutzer einen Prozesstyp (z. B. ALD, PEALD) eingeben oder auswählen und Chemien, z. B. einen ersten Precursor, einen zweiten Precursor, eine Reaktionstemperatur und eine gewünschte Anzahl von Monoschichten, auswählen. Außerdem können Inertgas-Durchfluss- und Modulationsparameter sowie die Einwirkungszeit vom Benutzer auswählbar sein, die für lange Einwirkungszeiten das Schließen des Vakuumaustrittsventils (285) während eines Abscheidungszyklus umfassen kann. Sobald die Beschichtungszyklusparameter ausgewählt sind, führt das System (200) die ausgewählte Beschichtungssequenz durch automatisches Aufbringen von Monoschichten durch, bis die gewünschte Oberflächenbeschichtung vollständig bis zur gewünschten Anzahl von Monoschichten ausgebildet ist. Danach kann der Benutzer das Substrat entfernen, ein anderes Substrat installieren und denselben Beschichtungszyklus für ein neues Substrat wiederholen oder kann andere Beschichtungszyklen durchführen, um eine zusätzliche Abscheidungsbeschichtungsschicht zu demselben Substrat hinzuzufügen.
  • Alternativ kann der Benutzer eine Sequenz von Beschichtungszyklen eingeben, wobei ein erstes Material auf freiliegende Flächen bis zu einer gewünschten Dicke oder Anzahl von Monoschichtzyklen aufgetragen wird und danach ein zweites Material auf freiliegende Flächen auf der ersten Materialschicht bis zu einer gewünschten Dicke oder Anzahl von Monoschichtzyklen aufgetragen wird, und so weiter, um zusätzliche Materialbeschichtungen aufzubringen. Bei dieser Beispielanwendung gibt der Benutzer zwei oder mehr Beschichtungsformulierung ein, wobei jede Formulierung einen unterschiedlichen Prozesstyp (falls zutreffend), eine unterschiedliche Chemie oder erste und zweite Precursorkombination (falls zutreffend), eine unterschiedliche Reaktionstemperatur (falls zutreffend) und eine unterschiedliche gewünschte Dicke oder Anzahl von Monoschichten (falls zutreffend) für jedes der zwei oder mehr Beschichtungsmaterialien angibt. Sobald die Beschichtungszyklusparameter für zwei oder mehr Beschichtungszyklen ausgewählt sind und eingegeben sind, führt das System (200) die erste Beschichtungssequenz automatisch durch, bis die erste Oberflächenbeschichtung vollständig bis zur gewünschten Anzahl von Monoschichten ausgebildet ist. Danach führt das System (200) automatisch die zweite Beschichtungssequenz unter Verwendung von anderen Parameter durch, bis die zweite Oberflächenbeschichtung vollständig bis zur gewünschten Anzahl von Monoschichten ausgebildet ist. Danach führt das System (200) automatisch eine dritte Beschichtungssequenz unter Verwendung von anderen Parametern durch, bis die dritte Oberflächenbeschichtung vollständig bis zur gewünschten Anzahl von Monoschichten ausgebildet ist.
  • Danach kann der Benutzer das Substrat entfernen, ein anderes Substrat installieren und dieselben oder zwei oder mehr Beschichtungszyklen für ein neues Substrat wiederholen.
  • Ein Beispiel-Gasabscheidungssystem (200), das verwendbar ist, um drei oder mehr Materialbeschichtungsschichten auf Innenflächen von Kontaktlöchern gemäß der vorliegenden Erfindung aufzubringen, ist in der verwandten veröffentlichten US-Patentanmeldung 2010/018325 A1 mit dem Titel PLASMA ATOMIC LAYER DEPOSITION SYSTEM AND METHOD, eingereicht am 28. Dezember 2009, von Becker et al., die durch den Hinweis in ihrer Gesamtheit hierin aufgenommen wird, beschrieben.
  • 9. Beispielhafter Beschichtungsprozess zum Ausbilden der Sperrschicht
  • Bei einer nicht begrenzenden Beispielausführungsform der vorliegenden Erfindung werden interne Kontaktlochflächen mit einer Sperrschicht (150) mit Titannitrid (TiN) beschichtet. Die Sperrschicht (150) wird bis zu einer Schichtdicke im Bereich von 20 bis 200 Å unter Verwendung des vorstehend beschriebenen Systems (200) wie folgt aufgebracht.
    • – Das Substrat (100) wird in die Prozesskammer (210) durch das Absperrventil (230) und den Einlassanschluss (225) eingesetzt und auf der Stützfläche (220) angeordnet, wobei eine Oberfläche der dielektrischen Schicht (110) der oberen Öffnung (250) zugewandt ist, d. h. mit dem offenen Ende der Kontaktlöcher der oberen Öffnung (250) zugewandt. Im vorliegenden Beispiel ist das Substrat (100) ein Wafer mit 100, 200 oder 300 mm und jeder Wafer wird einzeln bearbeitet. Eine Vielzahl von Substraten (100) kann jedoch in einer Charge bearbeitet werden, ohne von der vorliegenden Erfindung abzuweichen.
    • – Das Absperrventil (230) wird entweder automatisch oder durch einen Benutzer geschlossen. Das System (200) arbeitet zum Erhitzen des Substrats (100) auf eine gewünschte Reaktionstemperatur und die Vakuumpumpe (270) läuft kontinuierlich, um die Kammer auszupumpen, um einen gewünschten Reaktionsdruck zu erreichen. Im vorliegenden Beispiel liegt die bevorzugte Reaktions- oder Substrattemperatur für die Abscheidung der TiN-Sperrschicht zwischen 270°C und 400°C und der gewünschte Reaktionsdruck liegt zwischen 1 und 100 μTorr (1,33–133,32 mPa). Andere Reaktionstemperaturen für TiN, z. B. im Bereich von 200-500°C, und andere Reaktionsdrücke, z. B. im Bereich von 1 bis 10000 μTorr, sind jedoch verwendbar, ohne von der vorliegenden Erfindung abzuweichen.
    • – Die Kammer wird durch eine kontinuierliche oder diskontinuierliche Strömung von Inertgas gespült, das in die Kammer durch einen oder beide der Precursor-Einlassanschlüsse (235) und (240) oder durch einen anderen Anschluss geleitet wird, nicht gezeigt, um Feuchtigkeit und andere Verunreinigungen zu entfernen.
    • – Ein erster thermischer ALD-Beschichtungszyklus wird eingeleitet, um die TiN-Sperrschicht auf freiliegende Flächen des Substrats (100) aufzubringen.
    • – Ein erster metallorganischer Precursor, der Tetrakis(dimethylamido)titan (TDMAT) aufweist, wird in die Prozesskammer durch den ersten Precursor-Anschluss (235) eingeführt. Der erste Precursor wird als Dampfpuls eingeführt, der durch Betätigen eines Pulsventils, nicht gezeigt, für eine Pulsdauer erzeugt wird, wobei die Pulsdauer zu einem Volumen des im Dampfpuls enthaltenen ersten Precursordampfs proportional ist. Der erste Precursorpuls kann mit einer kontinuierlichen Strömung von Inertgas gemischt werden, das vom Prozessgaszufuhrmodul (255) zum ersten Precursor-Anschluss (235) strömt.
    • – (1) Der erste Precursor wird mit den freiliegenden Flächen des Substrats (100) für eine Dauer gleich einer vorbestimmten Einwirkungszeit reagieren lassen. Die Einwirkungszeit kann eine Funktion der Systemkonstruktion sein. Die Einwirkungszeit eines Precursorpulses auf das Substrat kann beispielsweise im Wesentlichen gleich der Zeit sein, die es dauert, bis die Vakuumpumpe (270) ein Gasvolumen gleich dem Gesamtvolumen der Prozesskammer (210) plus das zusätzliche Volumen von Gasleitungen, die durch den Austrittsanschluss (265) in die Prozesskammer führen, saugt. In diesem Fall kann die Einwirkungszeit in der Größenordnung von 10–2000 ms liegen. Für viel längere Einwirkungszeiten, z. B. bis zu etwa 60 Sekunden, kann das Vakuumventil (285) für eine gewünschte Einwirkungszeitdauer geschlossen werden; um zu verhindern, dass der Precursor die Prozesskammer verlässt.
    • – Vorzugsweise wird die Dauer jedes Precursorpulses (die Zeit, für die das Pulsventil geöffnet ist) optimiert, um ein ausreichendes Precursordampfvolumen in einem einzelnen Puls bereitzustellen, um die freiliegenden Flächen des Substrats, das beschichtet wird, im Wesentlichen zu sättigen oder mit diesen vollständig zu reagieren. Mit anderen Worten, jeder Precursorpuls umfasst genügend Precursor, um die vorstehend beschriebene selbstbegrenzende Reaktion mit den freiliegenden Flächen in der Zeit zu vollenden, die es dauert, bis der Precursorpuls durch die Prozesskammer (210) hindurchtritt.
    • – (2) Ein erster Spülzyklus wird durchgeführt, wobei die Prozesskammer (210) gespült wird, um alle Spuren des ersten Precursors zu entfernen. Dies kann einfach beinhalten, dass es der Vakuumpumpe und der kontinuierlichen Inertgasströmung ermöglicht wird, die Kammer zu spülen, um ein Gasvolumen gleich 2–5-mal das Volumen der Prozesskammer (210) und der Strömungsleitungen, die zur Kammer führen, zu entfernen.
    • – (3) Ein zweiter Precursor, der Stickstoff aufweist, wird in die Prozesskammer durch den ersten Precursor-Anschluss (235) eingeführt. Der zweite Precursor wie z. B. Ammoniak (NH3) wird als Dampfpuls eingeführt, der durch Betätigen eines Pulsventils, nicht gezeigt, für eine Pulsdauer erzeugt wird, wobei die Pulsdauer zu einem Volumen des zweiten Precursordampfs, der im Dampfpuls enthalten ist, proportional ist. Der zweite Precursorpuls kann mit einer kontinuierlichen Strömung von Inertgas gemischt werden, das vom Prozessgaszufuhrmodul zum ersten Precursor-Anschluss (235) strömt.
    • – Der zweite Precursor wird mit den freiliegenden Flächen des Substrats (100) für eine Dauer gleich einer vordefinierten Einwirkungszeit reagieren lassen.
    • – (4) Ein zweiter Spülzyklus wird durchgeführt, in dem die Prozesskammer (210) gespült wird, um alle Spuren des zweiten Precursors zu entfernen.
    • – Der vorstehend beschriebene 4-Stufen-Zyklus ist ein Beispiel eines thermischen ALD-Abscheidungsprozesses, der verwendbar ist, um eine einzelne Monoschicht der Sperrschicht (150) zu erzeugen, wobei die Sperrschicht TiN umfasst. Der 4-Stufen-Prozess wird wiederholt, um zusätzliche Monoschichten aufzubringen, bis eine gewünschte Sperrschichtdicke erreicht ist.
  • Bei einer alternativen Ausführungsform zum Aufbringen der Sperrschicht (150) der vorliegenden Erfindung kann TiN durch PEALD aufgebracht werden. Obwohl derselbe 4-Stufen-Prozess durchgeführt wird, wird der zweite Precursor durch mit Plasma angeregte Stickstoffradikale ersetzt, die vom Plasmagenerator (245) in die Prozesskammer (210) durch die obere Öffnung (250) zugeführt werden. Die Plasmaradikale sind von einem zweiten Precursor abgeleitet, der vom Prozessgaszufuhrmodul (255) in den Plasmagenerator (245) durch den zweiten Precursor-Anschluss (240) zugeführt wird. Insbesondere kann ein zweiter Precursor Stickstoffgas (N2), ein Gemisch von Stickstoff- und Wasserstoffgas oder Ammoniak aufweisen. In allen anderen Aspekten ist der vorstehend beschriebene Prozess zum Ausbilden der Sperrschicht im Wesentlichen derselbe.
  • Bei jedem der obigen Beispiele werden die Precursor auf etwa 75°C vorgeheizt, um den gewünschten Dampfdruck für die Pulsung zu erreichen. Die minimale Sperrschichtdicke (etwa 20 Å) wird durch Durchführen von etwa 34–40 Monoschichtaufbringungen erreicht, wobei jede Monoschicht eine Dicke von etwa 0,5 bis 0,6 Å aufweist. Die maximale Sperrschichtdicke (etwa 200 Å) wird durch Durchführen von etwa 333–400 Monoschichtaufbringungen erreicht.
  • 10. Beispielhafter Beschichtungsprozess zum Ausbilden der Dichtungsschicht (ohne Sauerstoff)
  • Bei einer nicht begrenzenden Beispielausführungsform der vorliegenden Erfindung werden interne Kontaktlochflächen mit einer Dichtungsschicht (155) mit Ruthenium (Ru) beschichtet. Die Dichtungsschicht (155) wird auf eine Schichtdicke im Bereich von 5 bis 10 Å unter Verwendung des vorstehend beschriebenen Systems (200) wie folgt aufgebracht. Die Substrattemperatur kann auf eine Temperatur im Bereich von 250 bis 350°C geändert werden, um die Dichtungsschicht (155) aufzubringen. Bei einem bevorzugten Verfahren wird jedoch dieselbe Abscheidungstemperatur von etwa 300°C verwendet, um die Sperrschicht, die Dichtungsschicht und die Keimbildungsschicht abzuscheiden.
    • – (1) Ein erster Precursor, der eine Ruthenocen-Verbindung aufweist, wird in die Prozesskammer durch den ersten Precursor-Anschluss (235) eingeführt. Die Ruthenocen-Verbindungen umfassen, sind jedoch nicht begrenzt auf Bis(ethylcyclopentadienyl)ruthenium, Bis(cyclopentadienyl)ruthenium und Bis(pentamethylcyclopentadienyl)ruthenium. Insbesondere ist die chemische Verbindung von Bis(ethylcyclopentadienyl)ruthenium = (EtCp)2Ru = Ru(C5H4C2H5)2, von Bis(cyclopentadienyl)ruthenium = Cp2Ru = Ru(C5H5)2 und von Bis(pentamethylcyclopentadienyl)ruthenium = (Me5Cp)2Ru = Ru(C5(CH3)5)2.
    • – Der erste Precursor wird als Dampfpuls eingeführt, der durch Betätigen eines Pulsventils, nicht gezeigt, für eine Pulsdauer erzeugt wird, wobei die Pulsdauer zu einem Volumen des ersten Precursordampfs, der im Dampfpuls enthalten ist, proportional ist. Der erste Precursorpuls kann mit einer kontinuierlichen Strömung von Inertgas vermischt werden, das vom Prozessgaszufuhrmodul zum ersten Precursor-Anschluss (235) strömt. Der Ruthenocen-Verbindungspuls reagiert mit Flächen der Sperrschicht (150), um eine erste halbe Monoschicht der Dichtungsschicht (155) auszubilden.
    • – (2) Ein erster Spülzyklus wird durchgeführt, wobei die Prozesskammer (210) gespült wird, um alle Spuren des ersten Precursors zu entfernen.
    • – (3) Ein zweiter Precursor, der ein Gemisch von Stickstoff- und Wasserstoffgasen aufweist, wird in den Plasmagenerator (245) durch den zweiten Precursor-Anschluss (240) geleitet. Der Plasmagenerator wird gezündet, um den Stickstoff und Wasserstoff anzuregen, die mit den freiliegenden Flächen des Substrats reagieren, um die Ausbildung einer ersten Monoschicht von Ru zu vollenden. Das Wasserstoffgas ist enthalten, um die erste halbe Ru-Monoschicht, die auf der TiN-Sperrschicht durch den ersten Precursor abgeschieden wird, aufzuspalten, der vorliegende Beschichtungsschritt kann jedoch ohne Wasserstoff durchgeführt werden, ohne von der vorliegenden Erfindung abzuweichen. Die vollendete Monoschicht hat eine Dicke von etwa 0,5 Å und wird ohne Sauerstoff ausgebildet, um die Oxidation der Sperrschicht (150) zu vermeiden. Der zweite Precursor kann N2-Gas, Ammoniak oder Hydrazin aufweisen, die durch eine Plasmaquelle angeregt werden.
    • – (4) Ein zweiter Spülzyklus wird durchgeführt, wobei die Prozesskammer (210) gespült wird, um alle Spuren des zweiten Precursors zu entfernen.
  • Der vorstehend beschriebene 4-Stufen-Zyklus ist ein Beispiel eines PEALD-Abscheidungsprozesses, der verwendbar ist, um eine einzelne Monoschicht der Dichtungsschicht (155) zu erzeugen, wobei die Dichtungsschicht Ru umfasst, das durch eine Ruthenocen-Verbindung ohne Sauerstoff ausgebildet wird. Der 4-Stufen-Prozess wird wiederholt, um zusätzliche Monoschichten von Ru aufzubringen, bis eine gewünschte Dichtungsschichtdicke erreicht ist. Die minimale Dichtungsschichtdicke (etwa 5 Å) wird durch Durchführen von etwa 10 Monoschichtaufbringungen erreicht, wobei jede Monoschicht eine Dicke von etwa 0,5 Å aufweist. Die maximale Dichtungsschichtdicke (etwa 10 Å) wird durch Durchführen von etwa 20 Monoschichtaufbringungen erreicht. Das Aufbringen einer dickeren Dichtungsschicht ist verwendbar, ohne von der vorliegenden Erfindung abzuweichen.
  • 11. Beispielhafter Beschichtungsprozess zum Ausbilden der Keimbildungsschicht (mit Sauerstoff)
  • Bei einer nicht begrenzenden Beispielausführungsform der vorliegenden Erfindung werden Kontaktloch-Innenflächen, die bereits mit der Sperrschicht (150) und der Dichtungsschicht (155) beschichtet sind, mit einer Keimbildungsschicht (160) mit Ruthenium (Ru) beschichtet. Die Keimbildungsschicht (160) wird auf der Ru-Dichtungsschicht (155) mit einer Schichtdicke im Bereich von 50 bis 150 Å unter Verwendung des vorstehend beschriebenen Systems (200) wie folgt aufgebracht. Die Substrattemperatur kann auf eine Temperatur im Bereich von 250 bis 350°C geändert werden, um die Keimbildungsschicht (160) aufzubringen. Ein bevorzugtes Verfahren führt jedoch die Abscheidung der Sperrschicht, der Dichtungsschicht und der Keimbildungsschicht durch, wobei das Substrat auf derselben Temperatur, z. B. 300°C, gehalten wird.
    • (1) Ein erster Precursor, der eine Ruthenocen-Verbindung aufweist, wird in die Prozesskammer durch den ersten Precursor-Anschluss (235) eingeführt. Der erste Precursor wird als Dampfpuls eingeführt, der durch Betätigen eines Pulsventils, nicht gezeigt, für eine Pulsdauer erzeugt wird, wobei die Pulsdauer zu einem Volumen des ersten Precursordampfs, der im Dampfpuls enthalten ist, proportional ist. Der erste Precursorpuls kann mit einer kontinuierlichen Strömung von Inertgas vermischt werden, das vom Prozessgaszufuhrmodul zum ersten Precursor-Anschluss (235) strömt. Der Ruthenocen-Verbindungspuls reagiert mit Flächen der Dichtungsschicht (155), um eine erste halbe Monoschicht von Ru der Keimbildungsschicht (160) auszubilden.
    • – (2) Ein erster Spülzyklus wird durchgeführt, wobei die Prozesskammer (210) gespült wird, um alle Spuren des ersten Precursors zu entfernen.
    • – (3) Ein zweiter Precursor, der Sauerstoff aufweist, wird in die Prozesskammer durch den ersten Precursor-Anschluss (235) eingeführt. Der zweite Precursor wird als Dampfpuls eingeführt, der durch Betätigen eines Pulsventils, nicht gezeigt, für eine Pulsdauer erzeugt wird, wobei die Pulsdauer zu einem Volumen des zweiten Precursordampfs, der im Dampfpuls enthalten ist, proportional ist. Der zweite Precursorpuls kann mit einer kontinuierlichen Strömung von Inertgas, das vom Prozessgaszufuhrmodul zum ersten Precursor-Anschluss (235) strömt, vermischt werden. Der Sauerstoff reagiert mit Flächen der ersten Monoschicht, die durch den ersten Precursor ausgebildet wird, um die Ausbildung einer ersten halben Monoschicht aus Ru zu vollenden, die mit Sauerstoff erzeugt wird. Der Sauerstoff-Precursor ist verwendbar, ohne die TiN-Sperrschicht zu oxidieren, da die Dichtungsschicht (155) verhindert, dass Sauerstoff die Sperrschicht (150) erreicht. Überdies oxidiert der Sauerstoff Kohlenstoff während der Ausbildung der Keimbildungsschicht, was die Kupferkristallkeimbildung und die Haftung an der Keimbildungsschicht (160) während der Metallisierung des leitfähigen Metallkerns (135) unterstützt. Die Reaktion ist folgendermaßen gekennzeichnet: – O2-Puls: O2 -> O (adsorbiert) – Ru-Precursorpuls: Ru(C5H4C2H5)2 (adsorbiert) + O (adsorbiert) -> Ru + CO2 + H2O
    • – (4) Ein zweiter Spülzyklus wird durchgeführt, wobei die Prozesskammer (210) gespült wird, um alle Spuren des zweiten Precursors zu entfernen.
  • Der vorstehend beschriebene 4-Stufen-Zyklus ist ein Beispiel eines thermischen ALD-Abscheidungsprozesses, der verwendbar ist, um eine einzelne Monoschicht einer Ru-Keimbildungsschicht (160) zu erzeugen, wobei die Keimbildungsschicht Ru aufweist, das mit Sauerstoff ausgebildet wird. Der 4-Stufen-Prozess wird wiederholt, um zusätzliche Monoschichten aufzubringen, bis eine gewünschte Keimbildungsschichtdicke erreicht ist. Die minimale Keimbildungsschichtdicke (etwa 50 Å) wird durch Durchführen von etwa 100 Monoschichtaufbringungen erreicht, wobei jede Monoschicht eine Dicke von etwa 0,5 Å hat. Die maximale Keimbildungsschichtdicke (etwa 150 Å) wird durch Durchführen von etwa 30.0 Monoschichtaufbringungen erreicht. Das Aufbringen einer dickeren Keimbildungsschicht ist verwendbar, ohne von der vorliegenden Erfindung abzuweichen.
  • Allgemeiner sind Ruthenocen-Verbindungen, die Metallocene enthalten, wie z. B. Bis(ethylcyclopentadienyl)ruthenium, Bis(cyclopentadienyl)ruthenium und Bis(pentamethylcyclopentadienyl)ruthenium, für die Dichtungsschicht- und Keimbildungsschichtausbildung bevorzugt. Andere Ruthenium-Precursoren sind jedoch verwendbar, einschließlich eines Pyrrolylruthenium-Precursors, der Ruthenium und mindestens einen Pyrollylliganden enthält. Solche Materialien können von Methylcyclopentadienylpyrrolylruthenium ((MeCp)(Py)Ru) abgeleitet sein.
  • Von Fachleuten auf dem Gebiet wird auch erkannt, dass, obwohl die Erfindung vorstehend hinsichtlich bevorzugter Ausführungsformen beschrieben wurde, sie nicht darauf begrenzt ist. Verschiedene Merkmale und Aspekte der vorstehend beschriebenen Erfindung können einzeln oder gemeinsam verwendet werden. Obwohl die Erfindung im Zusammenhang mit ihrer Implementierung in einer speziellen Umgebung und für spezielle Anwendungen (z. B. Aufbringen von Abscheidungsbeschichtungen auf Innenflächen von Durchgangskontaktlöchern) beschrieben wurde, erkennen Fachleute auf dem Gebiet ferner, dass ihr Nutzen nicht darauf begrenzt ist und dass die vorliegende Erfindung bei jeder Anzahl von Umgebungen und Implementierungen vorteilhaft verwendet werden kann, wo es erwünscht ist, Abscheidungsschichten auf eine Weise auszubilden, die die IC-Leistung verbessert. Folglich sollten die nachstehend dargelegten Ansprüche angesichts der vollen Breite und des vollen Gedankens der Erfindung, wie hierin offenbart, aufgefasst werden.

Claims (29)

  1. Eine elektronische Vorrichtung, die Durchgangskontaktlöcher aufweist, die durch eine Innendurchmesserfläche und eine Basiswandfläche gebildet sind, wobei alle Flächen beschichtet sind mit: – einer Titannitrid-Sperrschicht mit einer Dicke im Bereich von 20 bis 200 Å; – einer Ruthenium-Dichtungsschicht, die auf der Titannitrid-Sperrschicht ausgebildet ist, wobei die Dichtungsschicht ausgebildet wird, ohne die Sperrschicht Sauerstoff auszusetzen; und – einer Ruthenium-Keimbildungsschicht, die auf der Dichtungsschicht ausgebildet ist, wobei die Keimbildungsschicht mit Sauerstoff ausgebildet wird.
  2. Die elektronische Vorrichtung nach Anspruch 1, wobei die Ruthenium-Dichtungsschicht eine Dicke im Bereich von 5 bis 10 Å hat.
  3. Die elektronische Vorrichtung nach Anspruch 2, wobei die Ruthenium-Keimbildungsschicht eine Dicke im Bereich von 50 bis 150 Å hat.
  4. Die elektronische Vorrichtung nach Anspruch 3, wobei der spezifische Widerstand der Ruthenium-Keimbildungsschicht geringer ist als der spezifische Widerstand der Ruthenium-Keimbildungsschicht.
  5. Die elektronische Vorrichtung nach Anspruch 4, wobei das Durchgangskontaktloch mit Kupfer durch Aufbringen des Kupfers auf der Ruthenium-Keimbildungsschicht metallisiert wird.
  6. Eine integrierte elektrische Vorrichtungsanordnung, die aufweist: – eine dielektrische Substratschicht, die ein elektrisch isolierendes Material aufweist; – eine Schaltungsschicht, die auf der dielektrischen Substratschicht getragen ist und eine Halbleitermaterialschicht aufweist, die mit elektrischen Vorrichtungs- und Verbindungsmustern strukturiert ist; – eine leitfähige Schicht, die zwischen der dielektrischen Schicht und der Schaltungsschicht angeordnet ist, die zumindest leitfähige Schichtabschnitte in elektrischer Verbindung mit mindestens einem der Verbindungsmuster aufweist; – ein Durchgangskontaktloch, das vollständig durch die dielektrische Substratschicht zur leitfähigen Schicht verläuft und das eine Innendurchmesserfläche, die durch die dielektrische Substratschicht begrenzt ist, und eine Basiswandfläche, die durch einen der leitfähigen Schichtabschnitte begrenzt ist, aufweist; – eine Sperrschicht, die auf sowohl der Innendurchmesserfläche als auch der Basiswandfläche ausgebildet ist und ein erstes Material mit einem spezifischen Widerstand von weniger als 300 μOhm-cm aufweist, wobei die Sperrschicht mit einer ausreichenden Schichtdicke ausgebildet ist, um eine Diffusion eines Kontaktloch-Metallisierungsmaterials durch diese zu verhindern; – eine Dichtungsschicht, die auf der Sperrschicht auf sowohl der Innendurchmesserfläche als auch der Basiswandfläche ausgebildet ist und ein zweites Material mit einem spezifischen Widerstand von weniger als 300 μOhm-cm aufweist, wobei die Ausbildung der Dichtungsschicht ausgeführt wird, ohne die erste Materialschicht Sauerstoff auszusetzen; – eine Keimbildungsschicht, die auf der Dichtungsschicht auf sowohl der Innendurchmesserfläche als auch der Basiswandfläche ausgebildet ist und die das zweite Material aufweist, wobei die Ausbildung der Keimbildungsschicht das Oxidieren von Kohlenstoff aufweist.
  7. Das Halbleitersubstrat nach Anspruch 6, wobei das erste Material Titannitrid, Titan, Tantalnitrid, Tantal, Wolframnitrid, Kobaltnitrid oder Wolfram aufweist.
  8. Das Halbleitersubstrat nach Anspruch 7, wobei die Sperrschichtdicke zwischen 19 und 201 Å liegt.
  9. Das Halbleitersubstrat nach Anspruch 7, wobei das zweite Material Ruthenium aufweist.
  10. Das Halbleitersubstrat nach Anspruch 9, wobei die Dichtungsschichtdicke zwischen 4 und 11 Å liegt und die Keimbildungsschichtdicke zwischen 49 Å und 151 Å liegt.
  11. Das Halbleitersubstrat nach Anspruch 9, wobei die Abscheidung der Dichtungsschicht auf der Sperrschicht das Ausbilden einer Vielzahl von Rutheniummonoschichten auf freiliegenden Flächen des Durchgangslochs aufweist, wobei jede der Vielzahl von Monoschichten durch Reaktion einer Ruthenocen-Verbindung mit den freiliegenden Flächen des Durchgangslochs, gefolgt von der Reaktion von durch Plasma erzeugten Stickstoffradikalen mit den freiliegenden Flächen des Durchgangslochs, ausgebildet wird.
  12. Das Halbleitersubstrat nach Anspruch 6, wobei das Durchgangskontaktloch einen Durchmesser von weniger als 30 μm mit einer Durchgangslochtiefe von mehr als 200 μm aufweist.
  13. Das Halbleitersubstrat nach Anspruch 6, wobei das Metallisierungsmaterial Massekupfer aufweist.
  14. Ein Verfahren zum Vorbereiten eines Durchgangskontaktlochs zur Metallisierung, wobei das Durchgangsloch eine Innendurchmesserfläche und eine Basiswandfläche aufweist, das aufweist: – Positionieren eines Substrats, das mindestens ein Durchgangskontaktloch umfasst, innerhalb einer Prozesskammer, die zum Aufbringen von Materialabscheidungsschichten durch Atomschichtabscheidung (ALD) und durch plasmagestützte Atomschichtabscheidung (PEALD) geeignet ist; – Ausbilden einer Sperrschicht, die ein erstes Material aufweist, auf sowohl der Innendurchmesserfläche als auch der Basiswandfläche des mindestens einen Durchgangskontaktlochs, wobei das erste Material einen spezifischen Widerstand von weniger als 300 μOhm-cm hat und mit einer ausreichenden Dicke aufgebracht wird, um die Diffusion eines Metallisierungsmaterials durch die Sperrschicht zu verhindern; – Ausbilden einer Dichtungsschicht, die ein zweites Material aufweist, auf der ganzen Sperrschicht, wobei das zweite Material einen spezifischen Widerstand von weniger als 300 μOhm-cm hat und die Abscheidung der Dichtungsschicht ausgeführt wird, ohne die erste Materialschicht Sauerstoff auszusetzen; und – Ausbilden einer Keimbildungsschicht, die das zweite Material aufweist, auf der ganzen Dichtungsschicht, und wobei die Ausbildung der Keimbildungsschicht das Oxidieren von Kohlenstoff aufweist.
  15. Das Verfahren nach Anspruch 14, das ferner aufweist: – Halten der Prozesskammer auf einem Gasdruck von weniger als 1 Torr während der Ausbildung von sowohl der Sperrschicht, der Dichtungsschicht als auch der Keimbildungsschicht; und – Ausbilden von sowohl der Sperrschicht, der Dichtungsschicht als auch der Keimbildungsschicht, ohne das Substrat aus der Prozesskammer zu entfernen.
  16. Das Verfahren nach Anspruch 15, das ferner das Halten des Substrats auf einer konstanten Temperatur während der Ausbildung von sowohl der Sperrschicht, der Dichtungsschicht als auch der Keimbildungsschicht aufweist.
  17. Das Verfahren nach Anspruch 16, wobei die konstante Temperatur eine Temperatur zwischen 199 und 401°C ist.
  18. Das Verfahren nach Anspruch 17, das ferner das Halten des Substrats auf mindestens zwei verschiedenen konstanten Temperaturen während der Ausbildung von mindestens zwei Schichten ausgewählt aus Sperrschicht, Dichtungsschicht und Keimbildungsschicht aufweist.
  19. Das Verfahren nach Anspruch 18, wobei jede der mindestens zwei verschiedenen konstanten Temperaturen zwischen 199 und 501°C sind.
  20. Das Verfahren nach Anspruch 14, das ferner das Ausbilden der Sperrschicht aus Titannitrid, Titan, Tantalnitrid, Tantal, Wolframnitrid, Kobaltnitrid oder Wolfram aufweist.
  21. Das Verfahren nach Anspruch 20, das ferner das Ausbilden der Sperrschicht durch thermische Atomschichtabscheidung aufweist.
  22. Das Verfahren nach Anspruch 20, das ferner das Ausbilden der Sperrschicht durch plasmagestützte Atomschichtabscheidung aufweist.
  23. Das Verfahren nach Anspruch 14, wobei das erste Material Titannitrid aufweist, das ferner die Schritte zum Ausbilden der Sperrschicht aufweist durch: – Einwirken eines ersten Precursors, der Tetrakis(dimethylamido)titan (TDMAT) aufweist, auf die Innendurchmesserfläche und die Basiswandfläche des mindestens einen Durchgangskontaktlochs für eine Einwirkungszeit, die ausreicht, um eine selbstbegrenzende Reaktion des TDMAT mit den Innendurchmesser- und Basiswandflächen zu vollenden; – Spülen des TDMAT und der Reaktionsnebenprodukte aus der Prozesskammer; – Einwirken eines zweiten Precursors, der Stickstoff aufweist, auf die Innendurchmesserfläche und die Basiswandfläche des mindestens einen Durchgangskontaktlochs für eine Einwirkungszeit, die ausreicht, um eine selbstbegrenzende Reaktion des Stickstoffs mit den Innendurchmesser- und Basiswandflächen zu vollenden; – Spülen des Stickstoffs und der Reaktionsnebenprodukte aus der Prozesskammer; – Wiederholen der obigen Einwirkungs- und Spülschritte, bis die erste Materialdicke zwischen 19 und 201 Å (1,9–20,1 nm) liegt.
  24. Das Verfahren nach Anspruch 23, das ferner das Ausbilden der Sperrschicht durch einen thermischen Atomschichtabscheidungsprozess aufweist, wobei der zweite Precursor Ammoniak (NH3) aufweist.
  25. Das Verfahren nach Anspruch 23, das ferner das Ausbilden der Sperrschicht durch einen plasmagestützten Atomschichtabscheidungsprozess aufweist, wobei der zweite Precursor durch Plasma angeregte Stickstoffradikale aufweist.
  26. Das Verfahren nach Anspruch 14, wobei das zweite Material Ruthenium aufweist.
  27. Das Verfahren nach Anspruch 26, das ferner das Ausbilden der Dichtungsschicht auf der Sperrschicht aufweist durch: – Einwirken eines ersten Precursors, der eine Ruthenocen-Verbindung aufweist, auf die Innendurchmesserfläche und die Basiswandfläche des mindestens einen Durchgangskontaktlochs für eine Einwirkungszeit, die ausreicht, um eine selbstbegrenzende Reaktion der Ruthenocen-Verbindung mit den Innendurchmesser- und Basiswandflächen zu vollenden; – Spülen der Ruthenocen-Verbindung aus der Prozesskammer; – Einwirken eines zweiten Precursors, der durch Plasma erzeugte Stickstoffradikale und keinen Sauerstoff aufweist, auf den Innendurchmesser und die Basiswand des mindestens einen Durchgangskontaktlochs; – Spülen der Stickstoffradikale und Reaktionsnebenprodukte aus der Prozesskammer; – Wiederholen der obigen Einwirkungs- und Spülschritte, bis die Dichtungsschichtdicke mindestens 4 Å beträgt.
  28. Das Verfahren nach Anspruch 27, das ferner die Schritte des Ausbildens der Keimbildungsschicht auf der Dichtungsschicht aufweist durch: – Einwirken eines ersten Precursors, der eine Ruthenocen-Verbindung aufweist, auf die Innendurchmesserfläche und die Basiswandfläche des mindestens einen Durchgangskontaktlochs; – Spülen der Ruthenocen-Verbindung und der Reaktionsnebenprodukte aus der Prozesskammer; – Einwirken eines zweiten Precursors, der nicht radikalisierten Sauerstoff aufweist, auf die Innendurchmesserfläche und die Basiswandfläche des mindestens einen Durchgangskontaktlochs; – Spülen des Sauerstoffs und der Reaktionsnebenprodukte aus der Prozesskammer; – Wiederholen der obigen Einwirkungs- und Spülschritte, bis die Keimbildungsschichtdicke mindestens 49 Å beträgt.
  29. Das Verfahren nach Anspruch 28, das ferner das Metallisieren des Durchgangslochs mit Kupfer aufweist, wobei das Kupfer auf der Keimbildungsschicht aufgebracht wird.
DE112014006897.7T 2014-08-27 2014-08-27 Verbessertes Kontaktloch durch Silizium Withdrawn DE112014006897T5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/053015 WO2016032468A1 (en) 2014-08-27 2014-08-27 Improved through silicon via

Publications (1)

Publication Number Publication Date
DE112014006897T5 true DE112014006897T5 (de) 2017-05-11

Family

ID=55400187

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112014006897.7T Withdrawn DE112014006897T5 (de) 2014-08-27 2014-08-27 Verbessertes Kontaktloch durch Silizium

Country Status (6)

Country Link
US (1) US20170194204A1 (de)
JP (1) JP2017527117A (de)
KR (2) KR20170029637A (de)
CN (1) CN106575626A (de)
DE (1) DE112014006897T5 (de)
WO (1) WO2016032468A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US11195748B2 (en) * 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
US11361992B2 (en) * 2019-10-08 2022-06-14 Eugenus, Inc. Conformal titanium nitride-based thin films and methods of forming same
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
KR20230155949A (ko) * 2021-03-15 2023-11-13 램 리써치 코포레이션 금속 충진 프로세스 동안 라인 벤딩 감소
JP2023178560A (ja) * 2022-06-06 2023-12-18 嶺南大學校 産學協力團 化学蒸着法によるルテニウム薄膜又はルテニウム化合物薄膜の製造方法及びルテニウム薄膜又はルテニウム化合物薄膜

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
KR100832704B1 (ko) * 2006-12-21 2008-05-28 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
JP2009130288A (ja) * 2007-11-27 2009-06-11 Ulvac Japan Ltd 薄膜形成方法
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
JP2011018829A (ja) * 2009-07-10 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
US8661664B2 (en) * 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity

Also Published As

Publication number Publication date
US20170194204A1 (en) 2017-07-06
JP2017527117A (ja) 2017-09-14
CN106575626A (zh) 2017-04-19
KR20170102071A (ko) 2017-09-06
WO2016032468A1 (en) 2016-03-03
KR20170029637A (ko) 2017-03-15

Similar Documents

Publication Publication Date Title
DE112014006897T5 (de) Verbessertes Kontaktloch durch Silizium
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
DE3916622C2 (de)
DE102006001253B4 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
DE4325706C2 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE4237587C2 (de) Chemisches Dampfabscheidungsverfahren zur Schaffung einer vorherrschend TiSi¶x¶ enthaltenden, elektrisch leitfähigen Schicht
DE10065454B4 (de) Verfahren zur Herstellung eines Aluminiumoxidfilms zur Verwendung in einem Halbleitergerät
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE10350752A1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
DE19620022A1 (de) Verfahren zur Herstellung einer Diffusionssperrmetallschicht in einer Halbleitervorrichtung
DE19820147A1 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
DE102017114249A1 (de) TiSiN-Beschichtungsverfahren
DE10302644B3 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels stromloser Abscheidung unter Verwendung eines Katalysators
DE112005002353B4 (de) Verfahren zur Herstellung von Sammelleitungen aus Kupfer
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE19645033C2 (de) Verfahren zur Bildung eines Metalldrahtes
DE19953843B4 (de) Verfahren zur Herstellung einer Kupferverdrahtung für eine Halbleitervorrichtung
DE102004062472B4 (de) Verfahren zur Herstellung einer Metallverbindungsleitung unter Verwendung einer bei niedriger Temperatur gebildeten Barrierenmetallschicht
DE19752637A1 (de) Verfahren zur Herstellung einer metallischen Leitung einer Halbleitereinrichtung
DE102007041078A1 (de) Vorrichtung zur Herstellung eines Halbleiterbauelementes und Verfahren zur Herstellung eines Halbleiterbauelementes unter Verwendung derselben
EP0448763A1 (de) Verfahren und Vorrichtung zur Herstellung von leitenden Schichten oder Strukturen für höchstintegrierte Schaltungen
DE10150160B4 (de) Verfahren zum Herstellen einer Metallleitung eines Halbleiterbauteils
DE60019660T2 (de) Verfahren zum Aufbringen einer Sperrschicht
DE102018113674A1 (de) Sperrschichtbildung für leitfähiges Merkmal
EP0928498B1 (de) Verfahren zum erzeugen einer titanmonophosphidschicht und ihre verwendung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee