CN101353810B - 用于对半导体晶片执行清洗的方法及装置 - Google Patents

用于对半导体晶片执行清洗的方法及装置 Download PDF

Info

Publication number
CN101353810B
CN101353810B CN2008102128377A CN200810212837A CN101353810B CN 101353810 B CN101353810 B CN 101353810B CN 2008102128377 A CN2008102128377 A CN 2008102128377A CN 200810212837 A CN200810212837 A CN 200810212837A CN 101353810 B CN101353810 B CN 101353810B
Authority
CN
China
Prior art keywords
wafer
liquid
gas
chuck
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008102128377A
Other languages
English (en)
Other versions
CN101353810A (zh
Inventor
王晖
沃哈·纳持
费利克斯·古特曼
穆罕默德·阿夫南
希曼舒·J·乔克什
马克·J·范柯克威科
戴蒙·L·克勒
佩奥尔·伊
麦·H·源
张如皋
弗雷德里克·霍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of CN101353810A publication Critical patent/CN101353810A/zh
Application granted granted Critical
Publication of CN101353810B publication Critical patent/CN101353810B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Robotics (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本发明一方面提供一种用于对半导体晶片执行清洗的方法及装置。该设备包括一清洗模块,该清洗模块具有一边缘清洗组件(930),用于去除位于晶片(901)的斜角或边缘部上的金属残留物。边缘清洗设备包括一喷嘴头(1030),其往晶片主表面上供给液体和气体,以及在供给液体、径向向内位置处供给气体,从而减小液体沿径向向内方向流到晶片上形成的金属薄膜的可能性。

Description

用于对半导体晶片执行清洗的方法及装置
本申请是申请号为03810206.4号,发明名称为“电解抛光和/或电镀设备及方法”的发明申请的分案申请。 
与相关申请的交叉引用
本申请要求享有在先提交的如下美国临时专利申请的优先权:于2002年4月14日提交的、名称为“电解抛光和/或电镀组件的主机”的第60/372542号;于2002年4月8日提交的、名称为“末端执行器密封结构”的第60/379919号;于2002年4月8日提交的、名称为“用于清洗晶片的方法和设备”的第60/370955号;于2002年4月14日提交的、名称为“用于执行电解抛光和/或电镀的方法和设备”的第60/372566号;于2002年4月8日提交的、名称为“用于输送液体的方法和设备”的第60/370956号;于2002年4月8日提交的、名称为“用于对晶片进行调平的方法和设备”的第60/370929号;于2002年4月14日提交的、名称为“用于对基底上金属层执行电解抛光的方法和设备”的第60/372567;于2002年6月21日提交的、名称为“电镀设备”的第60/390460号,所有这些在先申请的内容都被结合到本文中作为参考资料。 
技术领域
本发明总体上涉及半导体处理设备和方法,更具体而言,本发明涉及一种用于对半导体器件上的导电层执行电解抛光和/或电镀的电解抛光和/或电镀设备。 
背景技术
半导体器件是利用许多不同的处理步骤制在或加工在半导体晶片上的,由此而形成了一些晶体管和互连元件。为了将与该半导体晶片相关的晶体管接线端在电路上相互连接起来,需要在作为半导体器件组成部分的介电材料中制出导电的(例如用金属制成)沟道、通路或类似结构。沟道和通路在晶体管、半导体器件的内部电路、以及半导体器件的 外部电路之间传输电信号和电能。 
在制出互连元件的过程中,半导体晶片例如要经受掩模、蚀刻、以及淀积处理,由此来形成半导体器件所需的电子电路。尤其是,可执行多次掩模和蚀刻步骤来在半导体晶片上的介电层中制出由凹陷区域组成的布图,这些凹陷区域作为形成电路互连线的沟道和通路。然后可执行一淀积过程来在半导体晶片上沉积一金属层,由此在沟道和通路内都淀积了金属,而且在半导体晶片的非凹陷区域上也淀积了金属层。为了隔离开各条互连线-例如经布图设计的沟道和通路,要将淀积在半导体晶片非凹陷区域上的金属去掉。 
将淀积在半导体晶片介电层上非凹陷区域上的金属膜去除掉的常规方法例如是化学机械抛光(CMP)。在半导体工业中,CMP方法是公知的,且获得了广泛地应用,其用于抛光和磨平沟道和通路内的金属层,使金属层与介电层的非凹陷区域平齐,由此形成互连线路。 
但是,CMP方法由于涉及到较大的机械作用力,所以会对底层的半导体结构产生几方面不利影响。举例来讲,随着连线的几何尺寸逐渐缩小到0.13微米以内,则导电材料与低k值的介电薄膜的机械特性之间会出现了很大的差异,其中的导电材料例如是用在普通大马士革(damascene)工艺中的铜。举例来讲,低k值介电薄膜的杨氏模量可能会小于铜杨氏模量的十分之一。因此,除了其它问题之外,如果在CMP工艺中向介电薄膜和铜施加较强的机械作用力,则就会在半导体结构上产生与应力相关的缺陷,这些缺陷包括分层、碟凹、腐蚀、薄膜翘起、刮擦、以及类似的缺损。 
因而,人们希望能用新的处理设备和工艺来对金属层执行淀积和抛光。例如,可利用电解抛光或电镀工艺将金属层从晶片上去除掉或淀积到晶片上。通常,在电解抛光或电镀工艺中,将晶片上要被执行抛光或电镀的部分浸入到一电解溶液中,并向晶片施加电荷。这些条件将使得铜被淀积到晶片上或被从晶片上去掉,具体是淀积还是去除取决于施加到晶片上的相关电荷。 
发明内容
本发明的一个方面涉及一种示例性的设备和方法,其用于对晶片上的导电膜执行电解抛光和/或电镀。该示例性的设备包括多种处理模块以及为执行不同模块的处理而设置的各种装置,其中的处理模块例如是清洗模块、加工模块、以及排列模块,而所述的各种装置例如是机械手、末端执行器、液体输送系统等。 
本发明的另一方面包括多种设备和处理方法。其中一种示例性的设备包括一清洗模块,其具有一晶片边缘清洗组件,其用于清除晶片斜角面或主表面外周部分上的金属残留物。边缘清洗装置包括一喷嘴头,其被设计成向晶片的主表面输送液体和气体。喷嘴将液体输送到靠近晶片主表面外边缘的区域中,并将气体输送到相对于液体供送位置位于径向内侧的位置处。将气体引导到晶片表面上位于液体供送位置径向内侧的位置处的设计能降低液体在晶片上沿径向向内侧流动、从而流到晶片上制出的金属层上的可能性。 
在阅读了下文结合附图所作的详细描述以及权利要求书之后,可对本发明有更好的理解。 
附图说明
图1表示了一种示例性的半导体处理组件,其被用来对晶片执行电解抛光和/或电镀; 
图2表示了一种用于传送半导体晶片的机械手,其带有一示例性的末端执行器; 
图3是一末端执行器的俯视图; 
图4A和图4B分别为一种示例性的末端执行器的俯视图和剖面图; 
图5是一种示例性的末端执行器的俯视图; 
图6是另一种示例性的末端执行器的俯视图; 
图7中的俯视图表示了一种示例性的末端执行器; 
图8是一示例性真空吸碗的侧视图; 
图9A表示了一种带有圆顶盖的、示例性的清洗室模块; 
图9B是一清洗室模块的局部内部图; 
图9C是清洗室模块的分解视图,图中表示出了有关清洗喷嘴的细 节结构; 
图10A和图10B分别为一种示例性的边缘清洗组件的顶视图和侧视图; 
图11A-图11H中的各个视图表示了一种示例性的喷嘴头,其被包含在一斜角面清洗组件中,以作为其一个组成部件; 
图12是一示例性夹盘电机组件的分解视图,该夹盘电机组件被作为清洗室模块的部件; 
图13中的分解视图表示了一清洗室窗口,其被包含在清洗室模块中; 
图14中的分解视图表示了一种示例性的光学传感器,其被包含在清洗室模块中; 
图15表示了一种示例性的方法,该方法用于判断晶片在夹盘是否被正确地放置着; 
图16A-16C以及图17A-17C表示了示例性的晶片清洗过程; 
图18中的分解视图表示了一种示例性的加工室组件; 
图19中的分解视图表示了一种示例性的加工驱动系统,其可被用在图18所示的加工室组件中; 
图20表示了一种带有能量增强元件的喷嘴; 
图21中的分解视图表示了一种示例性的电镀设备; 
图22是图21所示的示例性电镀喷淋头组件的分解视图; 
图23中的分解视图表示了用于300mm晶片的示例性电镀喷淋头; 
图24中的分解视图表示了用于200mm晶片的示例性电镀喷淋头; 
图25A-25E是图22到图24所示喷淋头的各个视图; 
图26A和图26B是一示例性调平工具和晶片夹盘的俯视图和剖面图; 
图26C是图26A、26B中所示的示例性传感器的剖视图;以及 
图27中的示意图表示了用于调平工具的软件操作面板。 
具体实施方式
为了使阅读者对本发明有更为透彻的理解,下文的描述列举了各种 具体的细节特征-例如具体的材料、参数等。但应当指出的是:对细节的描述并非是为了对本发明的范围作出限定,而只是为了对示例性的实施方式作更好的描述。 
I.示例性的电解抛光和/或电镀组件 
本发明的第一方面包括一种用于对半导体晶片执行处理的、示例性的电解抛光和/或电镀组件。在一实例中,用于处理一片或多片半导体晶片的一种设备可包括:一用于储放晶片的模块;两个或多个垂直叠置的处理模块,它们用于对晶片执行电解抛光或电镀;一清洗模块;以及一用于传送晶片的机械手(带有一末端执行器等机构)。该设备可被划分成两个或多个部分,这些部分的特征在于具有独立的构架。一般情况下,机械手将晶片在晶片储放模块、处理模块、以及清洗模块之间进行传送,以便于对晶片执行所需的处理。另外,如下文将要描述的那样,设备也可包括各种其它的模块和特征,以对半导体晶片执行处理。 
图1中的分解视图表示了一种示例性的电解抛光和/或电镀组件100。在该实施方式中,组件100包括一主机(后端机“BE”)108和一前端机(加工机的界面“FI”)132;但是,也可将组件100划分成更少或更多的部分。 
BE108可包括一电气箱组件102、清洗排液/工艺处理排气管104、清洗模块组件106、交流控制组件110、液体输送系统(LDS)112、气体控制系统(GCS)114、处理液排流管116、泵和浪涌抑制器118、舱室排气管120、处理液槽122、液体过滤器124、液体盛盘126、双盛容区域128、以及处理模块组件130。 
FI132可包括一晶片预校位器134、前板136、灯柱138、机械手构架组件140、机械手控制器142、应急停机(EMO)按钮144、前部开口的标准容箱(FOUP)146、以及风扇过滤器单元152。 
组件100可被分拆成两个部分-即FI132和BE108,这样就能单独地运输这两个部分,并在工作地点组装成一套单元。另外,在执行运输或维护的过程中,例如可将机械手构架组件140从FI132中拆开并取下,该构架组件140中带有机械手组件147、干端执行器148、湿端执 行器149、以及机械手控制器142。因而,组件100可实现模块化,或者可被分割成多个部分,这将有助于执行运输、清洁、维护保养等。 
如图1所示,FOUP146可包括一个或多个用于储放晶片的容箱。干端执行器148将晶片150从任一容箱中转移到晶片预校位器134中。在湿端执行器149取走晶片、并将其传送到处理模块组件130中之前,晶片预校位器134对晶片150进行排布。应当指出的是,也可用其它的方法和装置来在各个模块之间传送晶片150。 
处理模块组件130可包括一个或多个用于对晶片执行电解抛光的电解抛光组件格架、或用于对晶片执行电镀的电镀组件131。电解抛光组件或电镀组件131可在垂直方向上叠垛起来,以便于减小处理模块组件130的占地面积。清洗模块组件106可包括数个格架的清洗室模块107,它们用于对晶片执行清洗。类似地,清洗室模块107也可被垂直叠置起来。在晶片150已被执行了电解抛光或电镀之后,湿端执行器149将晶片150转移到清洗室模块107中。干端执行器148将晶片150从清洗室107中取出,并将晶片150返送到FOUP146中的容箱中。一般来讲,在从FOUP146的容箱中取出晶片150以及将晶片返送到容箱中、或者从清洗室模块107中取出时,使用“干”端执行器148。“湿”端执行器149一般被用来将经过处理之后的晶片150取出,其中的原因在于:晶片150上可能还带有加工残留液。规定只使用湿端执行器来取出处理后晶片的设计能降低干端执行器148、湿端执行器149、以及由两执行器在组件100中搬运和转移的晶片之间出现交叉污染的可能性。 
于2002年11月13日提交的、名称为“用于对导电层执行电解抛光的电解抛光组件和方法”的第PCT/US02/36567号PCT专利申请中公开了一种示例性的电解抛光组件,其可被结合到组件100中,上述专利文件的实体内容可被合并到本申请中作为背景资料。 
如图1所示,大部分电气设备被封装在BE108中,具体来讲,电气设备被封装在电气箱组件102和AC控制组件110中,LDS112和GCS114也被设置在BE108中。 
LDS112可包括:用于输送DI水(去离子水)的输送管线、以及 各种化学物品和/或电解液,其中,化学物和电解液的成分取决于具体的应用场合以及组件100中采用的处理模块。GCS114还可包括用于控制和监测各种化学物品和电解液输送状况的各种控制阀、传感器、以及输送管线。 
泵及浪涌抑制器118将工艺液体从处理液槽122中泵送到处理模块组件130中。可在输送管线中设置液体过滤器124,以便于在处理液体到达处理模块组件130之前对其进行过滤。在晶片150已被处理之后,处理液体可经处理液排流管116排出到处理液槽122中。从处理模块组件130和清洗模块组件106排出的任何气体-例如潜在的有害气体可经工艺处理排气管104排出。清洗排液/处理排气管104还可被用来将DI水或气体从清洗模块组件106中排流出去。舱室排气管120可被用来排出BE108中通常存在的气体。FI132可包括一风扇/过滤器单元152,其用于向FI132供送过滤后的洁净空气。 
BE108还可包括液体盛盘126和双级容盛区域128。在处理液槽122中发生溢流、或输送管线发生泄漏的情况下,液体盛盘126是有用的。液体盛盘126还可包括用于检测泄漏的泄漏传感器。双级容盛区域128可容纳着从已被外套管隔绝的输送管线泄漏出的液体。 
输送管线、泵及浪涌抑制器118、液体过滤器124、液体盛盘126、以及双级容盛区域128一般具有耐酸、耐腐蚀的材料。 
BE108、FI132、以及机械手构架组件140可用不锈钢制成,其中的不锈钢优选为316等级的不锈钢。机械手组件147可由铝材、不锈钢等材料制成的。如果机械手组件147是用铝或其它易于受到腐蚀的材料制成的,则可对铝制部分的表面执行阳极氧化处理、或用Teflon等材料执行镀覆,以保护其免受腐蚀。清洗模块组件106可用不锈钢、塑料、PVC、PVDF、聚氨酯、Teflon等制成,但优选地是用316等级的不锈钢制成。GCS114和液体盛盘126可用塑料材料制成,优选地是用不可燃的塑料制成。可用PVC、PVDF、Teflon等材料制造处理液槽122,优选地是用PVDF进行制造。但应当指出的是:也可考虑在BE108和/或FI132上应用其它合适的材料或镀覆物。
用于对半导体晶片执行电解抛光或电镀的示例性过程是从其中装有晶片的、位于FOUP146中的容箱开始执行的。容箱或通向容箱的门被开启,以允许机械手组件147能进入到其中而用末端执行器148抓起晶片。机械手组件147和干端执行器148将晶片150转移到预校位器134中,以排布好晶片150而便于执行处理。在晶片预校位器134对晶片150执行排列之后,机械手组件147利用湿端执行器149将晶片150从晶片预校位器134上抓起,并将晶片150传送到电解抛光或电镀组件131中,以执行处理。 
在电解抛光或电镀处理完成之后,机械手组件147利用湿端执行器149抓起晶片150,并将晶片移入到清洗室模块107中。在清洗处理完成之后,干端执行器148抓起晶片150,并将晶片150移回到FOUP146中的容箱中,以利于提取。 
在另一种包括多个晶片和多个电解抛光或电镀组件的示例性过程中,可对一第一晶片执行上述的示例性处理过程,同时对第二、第三等晶片也执行类似的步骤。 
下面将对组件100的各个组成部件作更为详细的描述。尽管上文已针对特定的实施方式、实例、以及应用状况对示例性的电解抛光和/或电镀设备进行了描述,但对本领域技术人员而言很显然的是:在不偏离本发明的前提下,可对此作多种形式的改动和变型。 
II.末端执行器密封结构 
在有关半导体组件的一个方面,描述了一种示例性的末端执行器装置和方法。在晶片制造过程中,末端执行器是常用的,其例如被用来将晶片从一个处理模块转移到另一模块,以执行加工、清洗、储放等操作。根据一实施方式的示例性末端执行器包括一真空吸碗密封结构,其用于牢固地抓持并转移半导体晶片。该示例性的末端执行器可被设置在半导体处理组件中,更具体来讲,可被设置在半导体组件的机械手组件中。示例性的末端执行器能更为牢固地抓持住半导体晶片的表面,反过来再将晶片更为精确、可靠地转移到其目的地处。 
图2表示了一种用于在处理组件中转移半导体晶片的示例性机械手 组件,机械手组件包括与机械手相连的、示例性的末端执行器206,其用于抓取并转移晶片216。末端执行器206在其下侧形成一个真空,用于将晶片216吸附在其上,以便于将其从一个模块转移到其它模块。末端执行器206可通过消除真空或增大气压以使得重力超过密封件的吸力,进而放下晶片或释放开晶片216,这样就将晶片216从末端执行器206上释放开。另外,末端执行器206也可用小于环境压力的压力保持着晶片216的下侧,以保持着晶片216,使其能抵御转移过程中出现的震动和加速等作用。 
图3更为详细地表示了一示例性的末端执行器306的一侧。如图3所示,末端执行器306受一真空阀322控制地连接着一真空源,并受一氮气阀320控制地连接着一压力氮气源。当真空阀322被开启时,真空源与末端执行器306连通,这将降低真空吸碗302中的压力,以便于将晶片216保持到末端执行器306上。如果真空阀322被关闭、且氮气阀320被开启,则由于真空吸碗302中的压力增大,末端执行器306就将晶片216从真空吸碗302上释放开。 
不难理解:并不需要达到绝对真空或接近于绝对真空;只要压力相对于环境压力减小到足以克服重力以及转移过程中出现的震动、加速等作用保持和固定着晶片216就可以了。另外,也可用除氮气之外的其它气体-例如空气等气体来进行送气,以便于在释放晶片时提高压力。 
在晶片未被保持着或转移时,可将氮气阀320置于开启状态,以便于通过将真空吸碗302中的压力保持为接近环境压力、或大于环境压力,而吹走颗粒和/或防止酸等物质进入到真空吸碗302或末端执行器306中的真空管路中。 
图4A和图4B分别是一种示例性的末端执行器406的俯视图和剖视图,该末端执行器406包括真空吸碗402、蘑菇形帽体404、沟槽405、切去部分408(为减轻末端执行器的重量)、真空通道412、以及螺钉416(用于连接到机械手等装置上)。末端执行器406的结构总可包括任何合适的材料,例如不锈钢、铝、各种合金或金属、陶瓷、塑料等。 
如图3和图4A所示,一真空源通过真空通道412和孔眼414抽吸 气体,其中的孔眼位于末端执行器406的主侧面上,并靠近其远端。真空通道412可被制为一体或被制在末端执行器406中(如图所示那样),或者也可设置成穿过一条靠近末端执行器406的单独通道,该单独通道例如位于与末端执行器406相反的表面上。 
利用在真空通道412中形成的真空或负压,位于末端执行器406附近的晶片就会受到吸引而被贴合到真空吸碗402上,从而在相互正对着的晶片主表面与末端执行器406真空吸碗402之间形成一个临时性的密封。真空吸碗402可以是任何合适的形状,例如为椭圆形、长圆形、方形等。真空吸碗402套罩在蘑菇形帽体404的边缘上,并延伸超出末端执行器406的表面。真空吸碗402可由合成橡胶、硅橡胶、或其它合适的材料制成,这些材料一般是柔性或顺贴性的,以便于能与晶片形成临时性的密封,且不会对晶片造成划伤或开裂等损坏。 
如图4A-4B所示,横贯蘑菇形帽体404制出一浅槽405,用于增大对真空的保持性,例如,其可防止晶片416将孔眼414堵塞住。浅槽405将蘑菇形帽体404的顶面分割成了两个半圆。浅槽405还可被制成交叉瞄准线的形状、方形、圆形、或其它合适的形状,以提高末端执行器406的吸力和真空度,并降低孔眼414被堵塞的可能性。可使用与末端执行器406类似的材料-例如金属或塑料来制成蘑菇形帽体404。在一实例中,蘑菇形帽体404的高度与末端执行器406的高度类似(见图4B),从而当晶片被真空吸碗402吸起时,晶片会受拉力作用而贴合到蘑菇形帽体404的远端上。 
图8是一种真空吸碗的剖视图,该真空吸碗可被设置在示例性的末端执行器中。如图8所示,真空吸碗总体上是制在末端执行器一个表面上的凹腔,该凹腔包括一个底部818、以及基本上以角度α斜向延伸的侧壁820。角度α可在0-180度之间变动,具体的角度取决于特定的应用场合,但优选地是在5到50度之间,更为优选地是约30度。侧壁820可延伸超出末端执行器的表面,且高度为H,以便于能贴合到晶片上,并与晶片形成一密封结构。另外参见图4A、4B和图8,末端执行器406将被布置成这样:使得晶片416能随着气体被从孔眼414经真空通道410 抽出而与侧壁820的边缘相接触。真空吸碗402利用其内腔中形成的真空而抽吸、保持着晶片416。所形成的压力差将形成一个足够大的作用力,用于保持着晶片416上的夹持力,使其大于晶片上的重力。为了将解除末端执行器406对晶片1016的夹持作用,可经真空通道410和孔眼414输送气体(例如氮气等气体),以提高孔眼414内的压力,由此使夹持力变得小于重力。 
图5中的俯视图表示了另一种示例性的末端执行器506。图5所示的末端执行器506与图3、4A、图4B所示的执行器类似,区别在于末端执行器506包括三个孔眼514和三个真空吸碗502。孔眼514和真空吸碗502可被布置在末端执行器506上的各个位置处,这将取决于末端执行器506的设计和特定的应用场合。另外,末端执行器的形状可以是任何合适的形状,例如可以为马蹄形、矩形、圆形、具有一个或多个叉股的叉形等。 
图6中的俯视图表示了另一种示例性的末端执行器606。末端执行器606与图4A和图4B所示的执行器类似,区别在于末端执行器606具有多个真空吸碗602-在该示例中为五个真空吸碗602,每个吸碗都包括一细长的(即非圆形)的蘑菇形帽体604。另外,各个末端执行器606采用共同的真空通道,该真空通道所处位置靠近孔眼614,这与图5中的情况相反,图5所示的执行器包括多条真空通道,这些真空通道分支开而延伸向各个独立的孔眼514。 
图7中的俯视图表示了另一种示例性的末端执行器706。图7所示的末端执行器706类似于图3A和图3B所示的末端执行器,区别在于真空吸碗702中具有多个孔眼714。该实例中的真空吸碗702的形状为马蹄形,但功能与真空吸碗402类似,其包括多个与蘑菇形帽体604类似的细长帽体704。 
尽管上文已针对特定的实例和应用场合对示例性的末端执行器密封结构进行了描述,但本领域技术人员能认识到:在不偏离本发明的前提下,可作出多种形式的改动和变型。例如,可考虑采用各种方法来在真空吸碗中形成真空,并可考虑将真空吸碗和蘑菇形帽体设计成各种其 它的形状和构造,以便于在抓起和转移晶片时形成密封结构。 
III.用于对晶片执行清洗的方法和装置 
作为半导体处理组件的一个示例性方面,下面将对一种示例性的晶片清洗方法和装置进行描述。该示例性的晶片清洗方法和装置能在执行电解抛光或电镀过程之前清除掉晶片上的碎屑或颗粒,并能在执行完电解抛光或电镀步骤之后清洗掉晶片上的处理液。例如,在电解抛光处理执行之后,晶片主表面的外周区域或边缘(通常称为“斜角面区域”)上可能会带有铜残渣。希望能在不损坏晶片内部区域上金属薄膜的条件下从外周区域上浸蚀去这些铜残渣、并清洗晶片。因而,在一方面,清洗模块包括一边缘清洗组件,用于清除晶片外周部分或边缘部分上的金属残留物。该边缘清洗组件包括一喷嘴头,其被设计成向晶片的主表面输送液体和气体。喷嘴将液体输送到边缘区域上,但将气体输送到边缘的内部区域上,以便于降低液体在晶片上沿径向向内侧流动、从而流向金属膜的可能性。 
图9A-9C中的各个视图表示了一种用于清洗晶片的示例性清洗室模块。如图9A-9C所示,该示例性的清洗室模块可包括一圆顶盖902、清洗室窗口904、圆筒盖906、泄漏传感器908、滴盘排流管910、基部块912、滴盘夹扣914、滴盘916、底部室918、为夹盘电机组件的接线设置的切口920、两个DI水喷嘴922(位于背面侧)和926(位于上侧)、两个氮气喷嘴924(位于背面侧)和928(位于上侧)、边缘清洗组件930、光学传感器932、为晶片前侧的化学品所设置的喷嘴934、夹盘936、排流板938、顶部室940、排气以及排液管942、氮气管线944、边缘清洗盖946、为晶片背面侧的化学品所设置的喷嘴948、以及夹盘电机组件950。除了为化学品而设置的一个喷嘴934之外,清洗室模块还可针对化学品设置一个或多个喷嘴。 
晶片901可被末端执行器903等机构定位到清洗室中。如果确定出晶片901在夹盘936上的位置适于执行清洗处理,则夹盘电机组件950就使夹盘936和晶片901绕与晶片主表面垂直的一条轴线旋转。随着夹盘936和晶片901以大约为30rmp的转速进行转动,DI水喷嘴922和 926可向晶片901的上表面和背侧表面输送DI水流。DI水流可流过晶片901的边缘而流向清洗室的侧壁,并经排流板938排出到排气/排流管942中。为了将DI水从干晶片901上去除掉,夹盘电机组件950可将转速增大到2000rpm±1000rpm。然后,氮气喷嘴924和928可向晶片901的上侧和背面侧输送氮气流(或其它合适的气体),以便于进一步地清除掉晶片901上侧和背面侧上的DI水。 
在晶片901经过冲洗和干燥、且夹盘电机组件950已停止转动之后,边缘清洗组件930滑动到对边缘执行清洗的位置处。图10A-图10B表示了一种示例性的边缘清洗组件930,,其可包括DI水管1006、杆体1010、适配连接杆1008、支架1012、螺钉1014、气动工作台动作缸1016、调整螺钉1018、流量调节器1020、压缩空气管1022、杆体夹1024、酸液管1026、氮气管1028、喷嘴头1030、杆体刮垢器1032、氮气喷嘴1034、以及液体喷嘴1036。通过增加或去除适配连接杆1008,可将边缘清洗组件930的长度调整成适于200mm晶片、300mm晶片、以及其它尺寸的晶片。晶片901上表面与氮气喷嘴1034之间的间隙在0.1mm到10mm的范围内,液体喷嘴1036可被定位在边缘区域1004的上方。 
图11A-11C分别是一边缘清洗组件中所带的示例性喷嘴头1030的俯视图、侧视图和正视图。如图11A-11C所示,氮气喷嘴1034在靠近晶片901边缘的位置处形成一氮气气幕1102。在一示例性的边缘清洗过程中,晶片901可按照约50~500rpm的速度转动-优选为200rpm。液体喷嘴1036向晶片901的外侧主表面或边缘区域1004输送化学液流,从而形成宽度约10mm的薄膜层。该化学液能去除掉金属层或金属残留物,但此化学品也会附带地扩散向晶片901的中心部位,这将对金属层产生不利影响。可采用多种化学液来对边缘区域1004上的金属残留物执行蚀刻。例如,可使用浓度为10%的H4SO4和20%的H2O2来对边缘区域1004上的铜金属执行蚀刻。另外,为了提高蚀刻率,可将化学溶液加热到25℃到80℃。 
为了降低化学液从边缘向内侧扩展的可能性,氮气喷嘴1034将气体流-例如氮气流输送或引导到边缘区域1004的内侧边缘处,以在此 处形成氮气气幕1102,以防止或至少减轻化学液向晶片901中心的扩散。在边缘区域1004被清洗之后,液体喷嘴1036可输送由DI水组成的液体射流1104,以便于稀释和/或冲洗掉晶片901上位于边缘区域1004的化学液。另外,在一实例中,在完成了边缘清洗过程之后,可利用DI水喷嘴922和926执行一另外的DI水冲洗步骤,用以清洗晶片901的上表面和背面侧。 
在边缘清洗过程完成之后,夹盘电机组件950可停止驱动夹盘936和晶片901,且边缘清洗组件930可从边缘清洗位置滑回到一停息位置。 
图11D-图11E是另一种示例性喷嘴头1030的各个视图。图11D-图11E中的实例与图11A-11C中实例类似,区别仅在于氮气喷嘴1034具有一定的水平延伸部1034h,该延伸部从喷嘴处向外延伸出。水平延伸部1034h可形成一氮气气幕3002,该气幕能有效地防止边缘喷嘴1036喷出的化学液向晶片901的中心部位扩展。水平延伸部1034h与晶片901之间的距离优选地是在0.1mm到3.0mm的范围内,更为优选地是约为1.5mm。 
图11F-11G中的各个视图表示了另一种示例性的喷嘴头1030。除了水平延伸部1034h是从喷嘴下部的两侧延伸之外,图11F-11G所示的实例与图11D-11E所示的实例完全类似。 
图11H表示了另一种示例性的喷嘴头1030。图11H所示的实例与图11A-11C所示的实例类似,区别仅在于其具有两个液体喷嘴1036,其中一个用于喷射化学液,另一个用于喷射DI水。例如在用DI水执行冲洗的过程中,分开设置的喷嘴将具有更好的性能。 
图12表示了一种示例性的夹盘电机组件950,其可被应用在晶片清洗装置中。在该实例中,夹盘电机组件950包括夹盘936、上电机板1202、光传感器1204、轴套1206、电机1208、标志物1210、垫圈1212、离心块轴1214、离心块1216、以及封塞1218。 
再次参见图9A、9B和10A,为了将晶片901放置到夹盘936上,末端执行器903将晶片901从处理室或预校位器(见图1)上抓起,并将晶片经清洗室窗口904送入到清洗室模块中,以便于执行清洗。图13 表示了一种示例性的清洗室窗口904,其包括内板1302、外板1304、支架1306、流量控制器1308、动作缸1310、动作缸盖906、以及限位传感器1312。末端执行器903将晶片901装入到夹盘936中。动作缸1310可升高外板1304,并封闭清洗室窗口904,以开始执行晶片清洗处理。 
如图12所示,示例性的夹盘936包括基座1220和三个定位器1222。夹盘936可被改造成适于200mm规格晶片、300规格晶片、或其它尺寸规格的晶片。当末端执行器903将晶片901安装到夹盘936上之后,晶片901就被三个定位器1222定位在了夹盘936上。再次参见图9A-9C,光学传感器932可对晶片901在夹盘936中的位置进行检测。如图15所示,为了检查晶片的定位误差,光学传感器932向晶片901的上表面发射光束。如果末端执行器903将晶片901定位在定位器1222的顶面上,则光束就会完全反射回反射传感器932。随着夹盘936的转动,光束的反射率也会相应地发生改变。另外,由于晶片901与反射传感器932之间的距离会发生改变,所以可利用光反射率的差异和变化来判断晶片901是否被精确地布置在夹盘936和三个定位器1222上。在一实例中,如果晶片901被三个定位器1222精确地定位在夹盘936上,则在夹盘旋转过程中,所检测到的反射率约70%~75%。但是,如果晶片901的定位并不精确,则所检测到的反射率在30%到60%之间。当夹盘936高速旋转时,位置不正的晶片可能会从夹盘936中飞出,这将导致晶片901破坏清洗室模块的内部装置。 
图14表示了一种示例性的光学传感器932,其可包括一装配管1402、一装配O型圈1404、反射传感器1406、保持器1408、维通O型圈1410、以及保持凸缘1412。应当指出的是:也可采用其它合适的光学传感器来判断晶片相对于夹盘936的位置是否正确。在其它实例中,可使用非光学传感器来测量晶片的表面,以取代光学传感器932,其它的传感器例如是接近传感器、电涡流传感器、声学传感器等。 
为了防止晶片901在甩干周期等过程中由于受相对较大的离心力作用而产生移动、进而从夹盘936中旋出,可在夹盘定位器1222上设置离心块1216。离心块1216可包括一重于上部的下部元件(即重物), 该重物靠近于离心块轴1214。当夹盘936的转速超过1000rpm或更高时,离心力将使得离心块1216上的重物向外摆转。因此,离心块1216的上部会向内侧移动,由此将晶片901牢固地保持在夹盘936上。可改变定位器1222和离心块1216的重量、长度等参数来改变定位器1222开始移动而固定住晶片时的转速。当夹盘电机组件950减速或停止时,离心块1216将由于离心力减小或变为零而恢复到直立状态。为了能紧固住晶片,夹盘的旋转速度被设定在约200~3000rpm的范围内,优选为2000rpm。 
图16A-16C表示了一种示例性的晶片背面侧清洗过程,并表示出了晶片相对于定位器1222和晶片背面侧化学液喷嘴948的位置。在一示例性的晶片背面侧清洗过程中,电机1208使夹盘936发生摆转,以对正晶片背面侧化学液喷嘴,使得化学液能被输送到晶片901的背面侧上,而不会溅到晶片定位器1222上。与晶片定位器1222接触的化学液会溅到晶片901的顶面上,并对顶面造成化学腐蚀,这将会对晶片901上制出的结构和器件造成损坏。背面侧化学液喷嘴948可被布置在两定位器1222之间,并在角度β与-β之间摆转。如图16A-16C所示,通过将背面侧化学液喷嘴948在角度γ与-γ之间移动、以使得背面侧化学液喷嘴948的指向偏离中心,就能使背面侧化学液喷嘴对晶片901的覆盖范围超出角度β与-β之间的区间。 
由化学液喷嘴948输送的化学液将到达晶片901的背面上,且清洗时间在5-100秒的范围内,优选为10秒。然后对晶片901背面侧的各个三分之一面积重复执行该清洗过程。 
图17A-17C表示了另一种示例性的背面侧晶片清洗过程。该清洗方法与上文参照图16A-16C所描述的过程类似,区别在于夹盘936是连续转动的,但背面侧化学液喷嘴948则是脉冲或定时工作的,即在定位器1222之间时开启,而在指向定位器1222时则关闭。与图16A-16C所示的情况类似,背面侧化学液喷嘴948可在处理过程中摆转±γ。如图17B和17C所示,随着夹盘936在逆时针方向上转动,背面侧化学液喷嘴948将液体喷射到晶片上,直到在达到角度α1时关闭。在角度为α2 时,液体被再次喷射到晶片的背面侧上。 
在另一实例中,为了清洗晶片901背面侧上与定位器1222相接触的部位,可使电机1208以足够高的转动加速度产生一个转动,以使得晶片901移离原先的位置。因而,被晶片背面侧化学液喷嘴948所输送的化学液就能接触到晶片901背面侧上原先与定位器1222相接触的部位。在清洗了晶片901背面侧的整个表面之后,DI水喷嘴922将开始输送DI水流,以冲洗掉晶片901背面侧上的化学液。 
可对晶片901执行一个最后的清洗循环。随着夹盘936与晶片901以约30rpm的转速旋转,DI水喷嘴922和926可将DI水流同时喷射到晶片901的顶面和背面侧上。为了去除掉晶片901上的DI水、以对其执行干燥,夹盘的转速可被增加到2000rpm±1000rpm。然后,由氮气喷嘴924和928向晶片901的顶面和背面侧输送氮气流,用以将DI水膜从晶片901的顶面和背面上吹去。 
在上文对示例性设备和方法所作描述的启示下,可按照如下的步骤执行示例性的清洗方法和过程。 
初始清洗: 
a.使夹盘复位; 
b.打开外板1302; 
c.将晶片901放置到夹盘936上; 
d.关闭外板1302。 
正面清洗: 
e.以10rpm到100rpm的转速(优选为50rpm)转动夹盘936; 
f.由DI水喷嘴(顶部喷嘴)926向晶片901的正面输送DI水; 
g.DI水喷嘴(顶部喷嘴)926停止输送DI水,然后将夹盘的转速增加到1000rpm~2000rpm-优选为2000rpm。 
h.由氮气喷嘴(顶部喷嘴)928输送氮气,以吹干晶片901的顶面; 
i.停止供应氮气流,并使夹盘停止转动。 
边缘清洗: 
j.通过向空气动作缸1016输送动力,而将边缘清洗组件930从其 停息位置移动到边缘清洗位置; 
k.以100rpm~500rpm(优选为350rpm)的转速旋转晶片901,经氮气管1028、由氮气喷嘴1034输送氮气; 
1.经酸液管1026、由液体喷嘴1036输送边缘清洗化学液; 
m.在蚀刻掉边缘区域1004上的金属之后,停止输送边缘清洗化学液; 
n.经DI水管2006、由液体喷嘴1036输送DI水; 
o.在冲洗掉边缘区域1004上的化学液之后,停供DI水流; 
p.经氮气管1028、由氮气喷嘴1034输送氮气; 
q.停止夹盘的转动,并将边缘清洗组件930移回到停息位置。 
背面侧的清洗: 
r.将夹盘936移动到执行背面侧清洗的位置-即晶片背面侧化学液喷嘴948与两相邻定位器1222的距离相等时的位置。电机1208开始使夹盘936围绕着晶片背面侧化学液喷嘴948摆转。摆转角应当小于45°±5°。然后,晶片背面侧化学液喷嘴948向晶片901的背面侧输送化学液; 
s.对晶片901的第二、第三扇区重复执行步骤r。作为备选方案,可使晶片901在一个方向上连续地转动,使背面侧化学液喷嘴948脉冲地工作,以避开定位器1222。 
换位清洗 
t.在快速转动过程中,通过利用较高的加速度来改变晶片901的位置; 
u.重复步骤s; 
v.对晶片901上的第二个三分之一部分重复执行步骤s~u; 
w.对于晶片901上的最后一个三分之一部分重复执行步骤s~u; 
x.由DI水喷嘴(背面侧喷嘴)922向晶片901的背面侧输送DI水,并由DI水喷嘴(顶面喷嘴)926向晶片901的正面输送DI水,且晶片以约50rpm的转速转动。 
y.停止输送DI水流。以约1000~3000rpm的转速(优选为2000rpm) 转动夹盘936,然后,向晶片901的正面和背面侧输送氮气。 
z.停止向夹盘936输送氮气,并使其停止转动。通过利用动作缸1310降低外板1304来打开清洗室窗口904。然后,末端执行器903抓起晶片901,并将所述晶片移向储放容箱(图中未示出)。 
上述的过程描述了一种示例性的晶片清洗方法,但此描述并非是为了进行限定。根据本发明其它各个方面,存在多种备选的方法来对晶片901进行清洗。例如,第二种示例性的晶片清洗方法包括顺次的上述步骤a到d、之后的边缘清洗步骤j到q、以及最后的步骤e到i,其中,最后的步骤利用DI水和氮气清洗并干燥晶片的背面侧,。 
另一种示例性的方法包括步骤:用于启动清洗过程的顺次的上述步骤a到d、随后的边缘清洗步骤j到q、而后用化学液清洗晶片背面侧的步骤r到s;利用DI水和氮气清洗和干燥晶片正面的步骤e到i、以及用DI水和氮气清洗/高燥晶片背面侧的步骤t到z。另外,在执行背面侧清洗的过程中,可向晶片的顶面输送DI水,以保护晶片的顶面,使其免受背面侧蚀刻过程中所使用的各种化学液的影响。因而,对本领域技术人员很显然的是:利用本发明示例性的设备和方法,可考虑采用多种工艺来对半导体晶片执行清洗。 
尽管上文已针对特定的实施方式、实例、以及应用条件对用于清洗晶片的设备和方法进行了描述,但本领域技术人员能清楚地认识到:在不偏离本发明的前提下,存在多种改型和变动形式。 
IV.处理室 
作为半导体处理组件的另一方面,设置了一种处理室,用于对半导体晶片执行电解抛光和/或电镀。该示例性的处理室对于各种电解抛光设备和电镀设备是互换通用的。 
在一种示例性的工艺中,在将一种处理液流引流到晶片主表面上一较小部分的同时,使晶片发生转动。一用于引导流体流的喷嘴等装置沿一平行于晶片主表面的线性方向平动,例如从晶片的内径处移动到外径处。为了提高对晶片上金属层执行电镀或电解抛光时的均匀性,可改变晶片的旋转速度,以使得晶片表面相对于流体的入射流具有恒定的线速 度。另外,下文将描述各种用于确定薄膜型廓以及电解抛光或电镀工艺的示例性方法。 
图18中的分解视图表示了根据一实施方式的示例性处理室组件。示例性的处理室组件可包括动态护罩1802、磁性联接器1804、轴杆1806、用于安装轴杆的支架1808、防溅板1810、管1812、处理室盛盘1814、底部室1816、为光学传感器设置的通路1818、封塞1820、处理室1822、总管1824、喷嘴板1826、终点检测器1828、喷嘴体1830、侧板1832、处理室窗口1834、半月形室1836、门式夹具1838、以及窗口动作缸1840。 
该示例性的处理室对执行电解抛光和/或电镀都是同样适用的,但下文将基本上是参照电解抛光的情况对其进行描述。当利用本发明来执行电解抛光时,可能要在电解抛光过程中用到喷嘴体1830、喷嘴板1826、总管1824、以及动态护罩1802。作为备选方案,也可用同心圆式电解抛光设备来取代这些装置。在如下的两专利文件中就公开了一种示例性的同心圆式电解抛光设备,这两个专利文件在总体上都被结合到本申请中作为参考资料,两专利文件分别为:于1999年7月2日提交的第6395152号美国专利,其名称为“用于对半导体器件上金属互连线执行电解抛光的方法和设备”;于2000年2月4日提交的第6440295号美国专利,其名称为“用于对半导体器件上金属互连线执行电解抛光的方法和设备”。另外,下列的三个专利文件中描述示例性的电解抛光及电镀方法,这三个专利文件在总体上被结合到本申请中作为参考资料,这三个文件为:于2002年11月13日提交的、名称为“用于对导电层执行电解抛光的电解抛光组件”的第PCT/US02/36567号PCT专利申请;于1999年1月15日提交的、名称为“电镀设备及方法”的第6391166号美国专利;以及于1999年8月7日提交的、名称为“用于对半导体器件上金属连线执行电解抛光的方法和设备”的第PCT/US99/15506号PCT专利申请。 
另外,于2002年9月10日提交的、名称为“用于执行终点检测的方法和设备”的第6447668号美国专利描述了一种示例性的终点检测器和方法。该专利文件在总体上被结合到本申请中作为参考资料。
如图19所示,可被设置在处理室组件中的动力驱动系统可包括x轴标记物1902、x轴驱动组件1904、联接器1906、电机1908、z轴方向的安装支架1910、θ角驱动皮带及带轮1912、y轴方向θ角反射传感器1914、x轴传感器1916、θ角安装件1918、z轴万向节1920、z轴驱动平台组件1922、为z方向运动安装件设置的支架1924、θ角电机1926、θ角驱动带轮1928、夹盘组件1930、后盖板组件1932、x轴线性轴承1934、y轴翼形调整螺钉1936、z轴板1938、顶盖1940、z轴线性轴承1942、轴杆1944、x轴磁体1946、磁性断路板1948、y轴平台1950、磁体1952、以及为磁体安装件设置的支架1954。 
例如在如下的专利文件中就描述了一种示例性的夹盘组件:于1999年9月7日提交的、名称为“在对半导体工件执行电解抛光和/或电镀过程中对其进行保持和定位的方法和设备”的第6248222B1号美国专利;于2001年3月7日提交的、名称为“在对半导体工件执行电解抛光和/或电镀过程中对其进行保持和定位的方法和设备”的第09/800990(序列号)美国专利;以及于2001年5月21日提交的、名称为“在对半导体工件执行电解抛光和/或电镀过程中对其进行保持和定位的方法和设备”的第09/856855号(序列号)美国专利,上述三个专利文件在总体上都被结合到本申请中作为参考资料。 
如图18所示,处理室1822可包括一动态护罩1802,其可随着夹盘组件1930和防溅板1810平动,用于在处理室区域内容盛处理液或电解液流体。一光传感器缆可穿过为光学传感器、终点检测器1828、或其它器件设置的通路1818,其中的其它器件例如是用于检测底部室1816或处理室盛盘1814是否泄漏的传感器。附加的封塞1820可被用来形成其它的通路。 
图18、19所示的示例性设备包括用于与x轴驱动磁体安装板1946进行连接的磁体1952。夹盘组件1930可通过支撑在x轴线性轴承1934上、在轴杆1944上滑动而沿x轴移动。如果该示例性设备未处于工作状态-例如在改变处理设备时或维护保养过程中,加工驱动系统无法移离处理室组件。为了沿着x轴方向向前移动,电机1908可在逆时针方 向上转动x轴驱动组件1904中的内部丝杠。相同或新型的加工驱动系统能按照相同的方式实现处理室组件的定位。一种实例包括一定的安全措施,从而,如果在加工驱动系统与处理室之间存在某个物体、或者当某些物体阻碍了x轴驱动组件1904向前/向后移动时,磁体1952或1946将与磁性断路板1948分离开。这样,x轴驱动组件1904和电机1908就无法再移动夹盘组件和顶盖了;此时,x轴传感器1916将确定出x轴驱动组件与加工驱动系统中其余机构的脱离状态,从而停止向电机1908供电。 
在对该示例性设备执行安装或定期维护的过程中,y轴翼形调整螺钉1936可沿着y轴方向调整夹盘组件1930在动态护罩1802和喷嘴板1826上的位置。 
参见图18和19,当该示例性的处理室被用在晶片处理应用中时,通过将加工驱动系统中的磁体1952与处理室组件上的磁性联接器1804连接起来,就可将加工驱动系统固定在处理室组件中。窗口动作缸1840将门式夹具1838从半月形室1836升高,以便于在处理室窗口1834中形成一个开口。一机械手(见图1)将晶片1801从预校位器(见图1)经处理室窗口1834送入。晶片1801被安放在夹盘组件1930中,以执行电解抛光和/或电镀加工。 
为了将夹盘组件1930从装工件位置或复位位置移动到执行电解抛光或电镀的位置,z轴驱动平台组件1922中的电机将转动其内部轴杆组件,以将z轴板1938从z轴线性轴承1942的顶部放低,直到使夹盘组件1930与喷嘴板1830顶部之间的间隙处于0.5mm到10mm的范围内(优选为5mm)为止。作为备选方案,如果该示例性的处理室被用来执行电镀,则z轴驱动平台组件1922中的电机可将z轴板1938从z轴线性轴承1942的顶部放低-直到使夹盘组件1930上晶片1801与同心圆装置顶部之间的间隙处于0.5mm到20mm的范围内(优选为5mm)为止。在晶片1801上电镀出第一金属层之后,z轴板1938可按照一工艺流程逐步地向上移动,以便于对晶片1801执行另一次电镀。 
为了抛光晶片1801,该示例性的处理室通过向晶片1801上的不同 部位施加强度不同的电流,而将铜从镀铜后的晶片1801上均匀而逐步地去除。有关电流以及处理液的方案将基于所述晶片的型廓以及其它由用户设定的要求,其中,用户的要求取决于具体的应用场合。由用户设定的要求条件包括大的去除物的处理次数、采用较大或较小的喷嘴、需要留在晶片上的铜层厚度。通常情况下,由晶片测量计量学工具对晶片样品上铜镀层的厚度分布进行测量。这样的测量将有助于形成一个电流率列表,该列表可包括这样的信息:电解抛光过程中,在晶片给定位置点上所用的电流比率。数据和所获得电流率列表将形成一金属膜厚度模型,可利用由用户设定的要求条件对该模型作进一步的修改,从而使晶片厚度具有一定的型廓分布,并确定了在执行电解抛光过程中电流密度和流量的配置方案。 
可根据材料去除物的类型来改变施加到晶片1801上的电流密度。举例来讲,为了去除晶片1801上很厚的金属膜,通常就要使用较大的电流。为了去除薄的金属膜,一般要采用较小的电流,以便于使去除过程具有更大的可控性,并更为精确。 
下面将对一种示例性的过程或方法进行描述,该过程或方法用于对金属层厚度较大的晶片执行电解抛光。该示例性的方案基本上包括四个或多个处理步骤。首先,执行一去除处理,去除掉厚金属层(例如为铜层)中的大块部分。其次,终点检测器1828对剩余铜层的反射光进行测量,以确定出晶片1801给定位置上的、用于进一步执行抛光的设定点。这一方法根据测得的反射率而重新计算薄膜厚度型廓。第三,该方法根据新的金属膜厚度型廓对相对较薄的铜层执行去除。第四,终点检测器1828对铜层的反射率来判断晶片1801是否已被电解抛光到了理想的厚度和/或型廓。第三和第四步骤可重复进行,直到将晶片1801抛光到理想厚度和/或型廓位置。 
但应当指出的是:如果终点检测器1828判断出已从晶片1801上去除了太多的铜镀层-例如在初始去除过程中,则本发明可包括一电镀过程,在该过程中,可用铜对晶片表面的某些区域再次执行电镀。电镀过程可采用这样的方法:用适当的电解液-例如CuSO4+H4SO4+H2O来改 变喷嘴体1830中喷嘴的电压极性。上文提到的、且被结合到本申请中的第6391166号美国专利中就公开了一种示例性的电镀设备和方法。 
示例性的工艺方法: 
步骤1.为了去除晶片1801上的铜层,在夹盘组件1930沿x轴发现移动的同时,θ角电机1926按照恒定的线速度转动夹盘组件1930。喷嘴体1830中的喷嘴以恒定流量向晶片1801输送处理液。θ角电机1926的转速与电流密度以及夹盘组件1930的线性移动距离相关。还可根据金属膜厚度模型和由用户设定的要求来确定出要被施加到晶片1801上的电流比率。在旋转着的夹盘组件1930执行线性移动过程中,该示例性的方案可不断地外推计算出位于各个数据点之间的新电流密度值,并计算出数据点上的新的线速度。可采用新的电流比率和线速度进一步重新计算设计方案。加工驱动系统将夹盘组件1930沿x轴方向移回到起点位置。 
步骤2.随着θ角电机1926再次以恒定的线速度转动夹盘组件1930、且夹盘组件沿x方向前后移动,终点检测器1828对晶片1801上铜镀层的反射率进行测量。该实例按照由用户设定的时间间隔纪录晶片1801的反射率、以及夹盘组件对应的线性距离。该实例将新的数据插入到金属膜厚度模型的一部分中。 
步骤3.重复执行步骤1,只是基于终点检测器1828对晶片1801上线性距离的给定位置处测得的反射率调整电流的大小。可使用喷嘴体1830中较小的喷嘴来实现对镀铜表面具有控制度更高的抛光。 
步骤4.重复执行步骤2,如果由终点检测器1828测得的新反射率大于一预设值,则重复执行步骤3。 
在该示例性的抛光处理中,夹盘组件1930可按照如下的三种模式旋转: 
1)线速度恒定模式: 
θ . = C 1 2 πR - - - ( 1 )
其中,R是喷嘴与晶片中心之间的水平距离;
C1是常数;以及 
Figure G2008102128377D00251
为转速。 
在实际控制中,R=0将导致转速θ无穷大;因而,可将公式(1)表达为下式: 
θ . = C 1 2 π ( R + C 2 ) - - - ( 2 )
其中,C2是一个根据具体设备和应用条件而设定的常数。 
2)转速恒定模式: 
θ . = C 3 - - - ( 3 )
其中,C3是由工艺方法设定的常数。 
3)离心力恒定模式: 
V 2 R = C 4 = Centrifugal · Force - - - ( 4 )
其中,V是线速度,R为喷嘴与晶片中心之间的水平距离,且C4是根据具体设备和应用条件而设定的常数。 
可利用公式  V = θ . · 2 πR 将公式(4)改写成: 
θ . = C 4 2 π R - - - ( 5 )
同样,R=0会导致转速
Figure G2008102128377D00257
无穷大,在实际情况中,可将公式(5)改写为: 
θ . = C 4 2 π R + C 5 - - - ( 6 )
其中,C5是一个根据具体设备和应用条件而设定的常数。 
夹盘在水平方向或x轴方向上的运动可被表达为: 
R . = C 6 2 πR - - - ( 7 )
其中,
Figure G2008102128377D002510
代表夹盘组件1930在x轴方向上的速度,而R=0会导致为无穷大,在实际情况中,公式(7)可被表达为:
R . = C 6 2 π ( R + C 7 ) - - - ( 8 )
其中,C7是一个根据具体设备和应用条件而设定的常数。 
尽管图18、19表示了这样的加工驱动系统:在该系统中,夹盘组件1930可沿x方向移动,但应当指出的是:在加工过程中,可根据具体的应用条件而使喷嘴板1826、或夹盘组件1930和喷嘴板1826都能沿x方向运动。 
图20表示了一种示例性的喷嘴2054,其可被用在示例性的处理室组件中。该示例性喷嘴2054包括一能量增强单元2080,其被固定或机械连接到喷嘴2054上。能量增强单元2080可增强金属膜2004表面上电解液2081的激发度,以便于实现更高的抛光率、更好的表面光洁度、以及更高的质量。 
在一种示例性的喷嘴2054中,能量增强单元2080包括一超声换能器或磁声换能器。电解液2081可从喷嘴2054的侧面输入口5200输入。超声换能器的频率在15kHz到100MHz的范围内,以便于激发电解液。超声换能器可由铁电性陶瓷制成,该陶瓷例如为钛酸钡(LiTaO3)、钛酸铅、锆酸铅等材料。超声换能器的功率在0.01W到1W/cm2之间。 
在另一实例中,能量增强单元2080可包括一激光器。出于与上述类似的目的,在执行电解抛光过程中,激光器可对金属表面执行照射。激光器例如可以是红宝石激光器、Nd玻璃激光器、或Nd:YAG(钇铝石榴石Y3Al5O12)激光器等固态激光器,也可以是He-Ne激光器、CO2激光器、HF激光器等气体激光器。为执行连续工作模式,激光器的平均功率在1瓦到100瓦/cm2之间。在另一实例中,激光器可按照脉冲模式工作。如本领域技术人员能领会的那样:脉冲模式时激光器的功率可高于正常模式下的功率。 
激光器还能对晶片1004上金属膜的厚度坚持测量。在此实例的情况中,指向金属膜的激光器可激励金属薄膜上的超声波。在执行电解抛光的过程中,可利用检测到的超声波对金属膜2004的厚度进行测量。金属膜2004的厚度可被用来控制抛光率,通过改变电流、喷嘴在径向 上的速度等指标来控制抛光率。 
在另一实例中,能量增强单元2080可包括一红外光源,用于在执行抛光过程中对金属膜2004执行退火。红外光源具有另外的功能选项,用于在执行电解抛光过程中控制金属层的表面温度。红外光源的功率在1W到100w/cm2之间。在电解抛光过程中,红外光源还被用来对金属膜执行退火处理。晶粒尺寸和结构对铜连线的电子迁移性能和电阻值具有非常重要的影响。由于温度是决定晶粒尺寸和结构的一个因素,所以,在执行抛光过程中,红外传感器还可被用来检测金属薄膜的表面温度。 
还可采用一红外线传感器来确定金属膜2004的温度。由于对温度进行了监测,所以在执行抛光过程中,可通过改变红外光源的功率、改变电流密度等措施实现对温度的调节。 
在另一实例中,能量增强单元2080可包括一个磁场,其用于在执行抛光过程中将抛光电流集中到金属膜2004上。对抛光电流的集中能提高对喷嘴抛光率型线的控制度,喷嘴的直径越大,对喷嘴抛光率型线的控制度就越重要。可以在电解液流动的方向-即与金属膜表面垂直的方向上形成磁场。可采用磁体和电磁体、超导线圈驱动磁体等装置来形成并集中磁场。 
应当指出的是:如上文中总体上描述的那样,可采用其它的能量源-例如紫外线、X射线、微波源等装置,以提高电解液处理的性能。 
尽管上文已针对特定的实施方式、实例、以及应用场合对示例性的处理室模块和方法进行了描述,但本领域技术人员可清楚地认识到:在不偏离本发明范围内的前提可作出多种改型和变动形式。 
V.电镀设备及方法 
作为半导体晶片的另一发明,提供了一种用于对半导体晶片执行电镀的设备和方法。在电镀设备和方法中,一般希望处理液能在晶片的表面上分布得更均匀一些,以便于使电镀出的金属膜具有一致的厚度。在一种示例性的方法中,希望能有一种用于电镀设备的喷淋头,其包括一过滤块,其能阻碍电解液的直接流动,且能在处理液从喷淋头中喷出之前、更为均匀地分散流经喷淋头中通道的处理液。将流经通道的处理液 分散得更为均匀将会使电解液从喷淋头组件的各个孔眼中喷出时具有相等或接近于相等的流量,由此可提高电镀过程的均匀性。 
图21中的分解图表示了一种用于对半导体晶片2102执行电镀的示例性电镀设备。该电镀设备可包括半月室2104、固定护罩2106、电镀喷淋头组件2108、排出管2110、液体入口2112、电解液配接件2114、液体配接件2116、处理室盛盘2118、底部室窗口2120、底部室2122、处理室2124、处理室窗口2126、顶盖组件2130、液体入口管2132、电极电缆2134、以及轴杆2136。顶盖组件2130的功能可以与上文在“处理室”章节中讨论的示例性顶盖组件的作用类似。固定护罩2106遮挡着晶片夹盘(图中未示出),例如用于在执行电镀和甩干的过程中,可阻止电解液从处理室中溅出。 
如图21所示,晶片2102经半月室2104而到达顶盖组件2130的晶片夹盘处,由此被安放到电镀设备中。为了将铜镀覆到晶片2102上,顶盖组件2130将晶片2102放低,且将晶片定位在电镀喷淋头组件2108的上方。在一示例性的电镀方法中,当晶片2102与喷淋头组件2108之间的间隙处于约0.1mm到10mm的范围内-优选为2mm时,部分地淀积一第一金属层。顶盖组件2130可将晶片2102再升高2mm到5mm,且可在已淀积了一厚层铜的晶片上执行第二次金属层淀积。 
在如下的三篇专利文件中描述了示例性的电镀方法和步骤,这两个专利文件分别为:于1999年1月15日提交的、名称为“电镀设备和方法”的第6391166号美国专利;于2001年4月18日提交的、名称为“电镀设备及方法”的第09/837902号美国专利申请;以及于2001年4月18日提交的、名称为“电镀设备及方法”的第09/837911号美国专利申请,这些专利文件中的所有内容都被结合到本申请中作为参考。 
图22中的分解图表示了一种用于电镀过程的示例性喷淋头组件2108。喷淋头组件2108可包括外通道圈2202、喷淋头顶部件2204、以及喷淋头2206。图23和图24中的分解图分别表示了用于对300mm规格晶片和200mm规格晶片执行电镀的示例性喷淋头。如果要与200mm晶片配套,则只要用200mm尺寸的外通道圈2402取代300mm的外通 道圈2302、并用200mm尺寸喷淋头顶部件2204取代300mm规格的喷淋头顶部件2304即可。因而,该喷淋头组件2006既能用于处理300mm的晶片,也能用于加工200mm的晶片。参见图24,由于晶片的尺寸从300mm减小到200mm,喷淋头顶部件2404可带有更少的环圈,且外通道圈2402的直径也能更小一些。但应当指出的是:给示例性的喷淋头可被设计成用于任何尺寸的晶片。 
图25A中的分解图表示了一种示例性的喷淋头。如图25A所示,喷淋头2206可带有几个电极环圈2502、螺帽2504、电极连接器2506、电极外连接器2508、小入口扩张装配件2510、入口扩张装配件2512、板式过滤器阻流件2514、喷淋头底部2516、过滤垫圈2518、以及过滤环板2520。每个电极环圈2502都被安装到一适配的过滤环板2520的顶部上,且通过用螺帽2504、电极连接器2506、以及电极外连接器2508紧固电极环圈2502,能将电极环圈锁止在喷淋头底部2516中的位置上。如图21所示,每一电极上都连接着一条与电极外连接器2508相连的缆线2134。电极环圈2502可用耐腐蚀的金属或合金制成,其中的金属或合金例如是铂、外覆有铂的钛等材料。喷淋头底部2516上为来自于入口扩张装配件2512和小入口扩张装配件2510的电解液设置有通道。 
从图25A可进一步地看出,入口扩张装配件2512可大于喷淋头底部2516中通道的宽度,且对于所有的7个或10个环圈,入口扩张装配件无法被紧固到相同的位置上。为了将入口扩张装配件紧固到喷淋头底部2516上、并能均匀地分布环圈上的张力和重力,在圆圈的另一半部分(图中不带有过滤块2514的一半)上,小入口扩张装配件2510或入口扩张装配件2512与相对的过滤块2514间隔布置。与入口扩张装配件2512类似,电极环圈2502被安装在过滤环板2520之上,以使得电极位于所述另一半圆上,且使电极环圈间隔地布置着。 
图25B中的分解视图表示了过滤环板2520和板式过滤器阻流件2514,二者由过滤垫圈2518结合到一起,从而形成液体阻流组件,且一电极环圈2502被装配到液体阻流组件上。该示例性的液体阻流组件将被定位在喷淋头底部2516、以及带有O形圈2530(图中未示出)的 板式过滤器阻流件2514的上方,其中,喷淋头底部2516的下方带有入口扩张装配件2512。每一过滤环板圈2520都带有一些孔洞,孔洞2522的中心具有狭小的孔眼。下面参见图25A和25B,由于液体阻流组件和电极环圈2502被紧固到喷淋头底部2516上,所以在过滤环板2520与喷淋头底部2516的底面之间形成一通道。电解液流体将从入口扩张装配件2512流入。电解液流体首先将会碰到位于入口上方的板式过滤器阻流件2514的中心,并被在整个通道内进行分布。随着电解液在通道内的上升,其最终会从孔洞2522中均匀地流出,并到达电极环圈2502处。电解液流经电极环圈2502、并经过喷嘴头2004中的孔眼2524均匀地流到晶片2102的表面上。 
图25C表示了孔洞2522与位于喷淋头2006底部上的喷嘴头孔眼2524之间的关系。如图25C和图22所示,喷淋头顶部2004堆叠在喷淋头2006上,以使得孔眼2524位于两孔洞2522之间。这种交错定位关系使得上面讨论过的电解液流动能更为均匀地通过液体阻流组件的各个凹陷部分。从图25D中对喷淋头的俯视图可看出,孔眼2524被布置成环绕着喷淋头顶部件2204(或2304、2404)上的外圈。这些孔眼2524也位于喷淋头顶部2204上封闭圈的内部,它们可被制成任意的形状-例如圆形、长形等,这取决于具体的应用。参见图24,孔眼2524可被制成长圆形,可通过制出三个圆孔而形成长圆形。 
如不设置板式过滤器阻流件2514,则入口扩张装配件2512可将电解质经过紧邻着其上部的一个或多个孔眼直接输送出去,导致电解液在整个通道范围内不均衡地分布。由于电解液从一个出口流出,所以很难控制电解液的压力。采用液体阻流组件,则该示例性的设备就能更好地对电解液执行控制,以利于金属-例如为铜的淀积,原因在于板式过滤器阻流件2514将阻碍电解液的直接流,并将电解液在整个通道内进行分布。将电解液在整个通道范围内进行分布的设计将使得从过滤环板2520的各个孔洞2522流出的电解液具有相等或几乎相等的体积。如图25E所示,电解液从电解液外连接器2508流出,并流经喷淋头底部2516和过滤板环2520,然后环绕着电极环圈2502的侧面流动,并从位于喷 淋头顶部2004上的孔眼2524流出。 
尽管上文已针对特定的实施方式、实例、以及应用场合对示例性的喷淋头装置进行了描述,但本领域技术人员可清楚地认识到:在不偏离本发明范围内的前提可作出多种改型和变动形式。 
VI.用于对晶片执行调平的方法和设备 
根据另一方面,本发明提供了一种相对于处理模块对半导体晶片执行调平的方法和设备,其中的处理模块例如是电解抛光装置或电镀装置。一般情况下,在处理晶片时,希望将晶片调平,以使得晶片的主表面基本上平行于处理室或处理工具的平面。例如,在处理设备中多晶片执行排列能提高执行电解抛光或电镀处理的均匀性。 
图26A和26B表示了一种示例性的调平工具2604,其被用于测量晶片2602相对于于处理设备-例如处理室的平行度是否在±0.001英寸的范围内。如图26A和图26B所示,该调平装置基本上包括调平工具2604、接地线2610、信号线2612、控制系统2614、以及夹盘2616。 
于1999年9月7日提交的、名称为“在对半导体工件执行电解抛光和/或电镀过程中对其进行保持和定位的方法和设备”的第6248222B1号美国专利;以及于2001年3月7日提交的、名称为“在对半导体工件执行电解抛光和/或电镀过程中对其进行保持和定位的方法和设备”的第6495007号美国专利都描述了一种示例性的夹盘,上述两专利文件在总体上都被结合到本申请中作为参考资料。 
参见图26A和26B,夹盘2616在对半导体执行电解抛光和/或电镀过程中夹持着晶片2602。为了使电解抛光和/或电镀处理更为均匀,将晶片2602定位成平行于、或近似平行于处理室2630,具体而言,是与处理设备的电镀头或抛光喷嘴(图中未示出)相平行。调平工具2604可被定位在处理室2630中,以提高晶片2602的对正度。 
调平工具2604可包括三个传感器2606以及与这些传感器对应的信号线2612。如果调平工具2604被布置在夹盘2616的下方,且晶片2602被下放到调平工具2604上,则信号线2612(通过传感器2606)就利用制在晶片2602表面上的金属薄层与控制系统连通。控制系统2614引出 的接地线2610与晶片2602金属层相连接。由于传感器2606与金属薄层相接触,在传感器2606与接地线2610之间就形成了一个回路,控制系统2614可对该回路执行测量。 
另外,如图26B所示,调平工具2604可包括支撑件2608,其用于测量晶片2602相对于夹盘2616和抛光喷嘴的平行度,并测量靠近晶片2602表面的调平工具2604的位置。 
图26C是一示例性传感器2606的剖视图。传感器2606可包括保持器2626、设置螺钉2618、调整销2620、导电螺钉2622、以及顶销2624。信号线2602经导电螺钉2622与传感器2606相连接。保持器2626、调整销2620、以及销体2624可由不锈钢、钛、钽、或金等金属或合金制成。 
在一种用于测量晶片2602相对于加工工具的对正度或平行度的示例性方法中,夹盘2616向调平工具2604下降,直至使其中一个传感器2606的顶销2624与晶片2602的导电面接触为止。该接触接通了一电路回路,该电路回路包括信号线2612、接地线2610、以及控制系统2614,且向控制系统2614输送信号。控制系统2614确定出从夹盘2616的起始位置(原位)到接触时顶销位置之间的距离。 
夹盘2616继续下降,直到使第二个传感器2606、第三个传感器2606与晶片2602的表面接触为止。测量与这两个传感器发生接触时相对应的的距离,然后结束测量。 
如图27所示,示例性的处理过程可包括一软件界面,该界面显示了各个传感器2606发生接触时的测得距离。该界面还显示了传感器2606的位置。测得距离的最大值与最小值之间的差值越小,则晶片2602越接近于处于对正状态或平行状态。该数据可被用来对夹盘2616执行调整,进而可对晶片2602的位置进行调整。在调整完成之后,重复执行测量过程,直至使测得距离的最大值与最小值之间的差值在设计要求的范围内为止,其中的设计要求例如是±0.001英寸,具体的数值取决于特定的应用环境。 
尽管上文已针对特定的实施方式、实例、以及应用场合对示例性的 晶片调平方法和系统进行了描述,但本领域技术人员可清楚地认识到:在不偏离本发明范围内的前提可作出多种改型和变动形式。 
上文对各种器件、方法和系统的详细描述是为了介绍示例性的实施方式,而并非为了进行限定。本领域技术人员能清楚地认识到:在本发明的范围内,存在多种可行的改型和变动形式。例如,可在同一处理组件中采用各种不同的示例性电解抛光和电镀装置-例如清洗室、光学传感器、液体输送系统、终点检测器等,或者也可分开使用这些装置,以改善电解抛光和/或电镀系统和方法。因而,本发明应当由所附的权利要求书限定,不应受具体描述的限制。

Claims (23)

1.一种用于对半导体晶片执行清洗的装置,其包括:
一晶片边缘清洗组件,其包括一喷嘴头,该喷嘴头被设计成能向晶片的主表面输送液体和气体,其中,
液体被输送到靠近晶片主表面外侧边缘的位置处;以及
气体被输送到位于液体输送位置径向内侧的位置处;
所述装置还包括:一夹盘,其在靠近喷嘴的位置处旋转晶片,其中,夹盘组件包括用于在夹盘旋转时紧固晶片的定位器;
其中,所述定位器包括机械上相互连接的第一部分和第二部分,第一部分的质量大于第二部分的质量,从而在旋转过程中,第一部分会向外侧移动,第二部分则向内侧移动,从而固定了晶片;并且
其中,定位器具有一转动轴线,第一部分位于转动轴线的下方,第二部分位于转动轴线的上方。
2.根据权利要求1所述的装置,其特征在于:气体和液体是由相邻的喷嘴进行输送的。
3.根据权利要求1所述的装置,其特征在于:所述气体为氮气、液体包括金属蚀刻化学品。
4.根据权利要求1所述的装置,其特征在于:喷嘴被设计成能输送气体,以阻止液体在晶片主表面上向径向内侧扩散;
5.根据权利要求1所述的装置,其特征在于:喷嘴被设计成以气幕的形式输送气体,以阻止液体穿过气体。
6.根据权利要求1所述的装置,其特征在于:喷嘴包括一水平延伸部,其平行于晶片的主表面,从而在水平延伸部与相对的晶片主表面之间形成一气体屏障。
7.根据权利要求6所述的装置,其特征在于:水平延伸部与晶片主表面之间的距离为0.1mm到2.0mm。
8.根据权利要求6所述的装置,其特征在于:水平延伸部与晶片主表面之间的距离为1.5mm。
9.一种用于对半导体晶片执行清洗的方法,其包括:
一边缘清洗过程,其包括:
使晶片绕一中心轴线转动;
向晶片的主表面喷射一种流体;以及
在靠近蚀刻流体喷射位置、且位于其径向内侧的位置处,向晶片的主表面喷射一种气体;并且
所述方法还包括:按照三等分的间隔向晶片的背面侧输送一种液体,同时使晶片振荡摆动,由此使液体不直接接触到保持着晶片的定位器上。
10.根据权利要求9所述的方法,其特征在于:气体降低了流体在半导体晶片上向径向内侧流动的可能性。
11.根据权利要求9所述的方法,其特征在于:气体和液体是同时输送的。
12.根据权利要求9所述的方法,其特征在于:在向晶片输送流体之前、以及在输送流体的过程中,向晶片输送气体。
13.根据权利要求9所述的方法,其特征在于:在向晶片输送流体过程中、以及在输送流体之后,向晶片输送气体。
14.根据权利要求9所述的方法,其特征在于:气体包括氮气,液体包括金属蚀刻化学品。
15.根据权利要求9所述的方法,其特征在于:液体被输送到晶片主表面的斜角面区域上。
16.根据权利要求15所述的方法,其特征在于:气体被输送到斜角面区域的径向内侧边缘上。
17.根据权利要求9所述的方法,其特征在于:气体被输送到靠近液体输送位置的区域处,该区域具有一定的径向宽度和一定的周向长度,用以降低液体在晶片上向径向内侧流动的可能性。
18.根据权利要求9所述的方法,其特征在于:在执行边缘清洗过程中,夹盘旋转晶片的速度为50rpm到500rpm。
19.根据权利要求9所述的方法,其特征在于:在执行边缘清洗过程中,夹盘旋转晶片的速度为350rpm。
20.根据权利要求9所述的方法,其特征在于还包括操作:向晶片的两侧主表面输送DI水。
21.根据权利要求9所述的方法,其特征在于还包括:通过将晶片的转速设置为1000rpm到3000rpm之间、并向晶片主表面输送气流来对晶片执行干燥。
22.根据权利要求9所述的方法,其特征在于还包括:以脉冲的形式向晶片背面侧输送液体,以使得液体不会直接接触到保持着晶片的定位器。
23.根据权利要求9所述的方法,其特征在于还包括操作:以足够大的加速度转动保持着晶片的夹盘,使得晶片相对于夹盘发生移位,并重复执行清洗过程。
CN2008102128377A 2002-04-08 2003-04-08 用于对半导体晶片执行清洗的方法及装置 Expired - Fee Related CN101353810B (zh)

Applications Claiming Priority (16)

Application Number Priority Date Filing Date Title
US37091902P 2002-04-08 2002-04-08
US37095502P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37092902P 2002-04-08 2002-04-08
US60/370,955 2002-04-08
US60/370,929 2002-04-08
US60/370,956 2002-04-08
US60/370,919 2002-04-08
US37256702P 2002-04-14 2002-04-14
US37256602P 2002-04-14 2002-04-14
US37254202P 2002-04-14 2002-04-14
US60/372,567 2002-04-14
US60/372,542 2002-04-14
US60/372,566 2002-04-14
US39046002P 2002-06-21 2002-06-21
US60/390,460 2002-06-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB038102064A Division CN100430526C (zh) 2002-04-08 2003-04-08 电解抛光和/或电镀设备及方法

Publications (2)

Publication Number Publication Date
CN101353810A CN101353810A (zh) 2009-01-28
CN101353810B true CN101353810B (zh) 2012-02-15

Family

ID=29255769

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008102128377A Expired - Fee Related CN101353810B (zh) 2002-04-08 2003-04-08 用于对半导体晶片执行清洗的方法及装置
CNB038102064A Expired - Fee Related CN100430526C (zh) 2002-04-08 2003-04-08 电解抛光和/或电镀设备及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB038102064A Expired - Fee Related CN100430526C (zh) 2002-04-08 2003-04-08 电解抛光和/或电镀设备及方法

Country Status (10)

Country Link
US (1) US20050218003A1 (zh)
EP (1) EP1492907A4 (zh)
JP (5) JP2005522585A (zh)
KR (1) KR20040099407A (zh)
CN (2) CN101353810B (zh)
AU (1) AU2003226319A1 (zh)
CA (1) CA2479794A1 (zh)
SG (1) SG159384A1 (zh)
TW (1) TWI274393B (zh)
WO (1) WO2003087436A1 (zh)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (zh) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 湿蚀刻设备
JP5155517B2 (ja) 2005-04-21 2013-03-06 株式会社荏原製作所 ウエハ受渡装置及びポリッシング装置
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
TW200818374A (en) * 2006-08-04 2008-04-16 Du Pont Substrate carrier enclosure
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US20080107509A1 (en) * 2006-11-07 2008-05-08 Whitcomb Preston X Vacuum end effector for handling highly shaped substrates
EP2097342B1 (de) * 2006-11-27 2023-03-22 Brooks CCS RS AG Transfervorrichtung für eine überkopf-transportanlage
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8172989B2 (en) * 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
WO2009086042A2 (en) 2007-12-27 2009-07-09 Lam Research Corporation Arrangements and methods for determining positions and offsets
US9269529B2 (en) 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
EP2187598A4 (en) * 2007-12-27 2012-01-25 Nec Corp PORTABLE TELEPHONE TERMINAL
US8751047B2 (en) 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
JP5417343B2 (ja) 2007-12-27 2014-02-12 ラム リサーチ コーポレーション 少なくとも1つの光源を使用してエンドエフェクタ・アラインメントを校正するためのシステムおよび方法
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
US8369978B2 (en) * 2008-09-04 2013-02-05 Applied Materials Adjusting polishing rates by using spectrographic monitoring of a substrate during processing
CN102349145B (zh) * 2009-01-11 2015-05-27 应用材料公司 用于传送基板的静电末端执行器设备、系统和方法
CN101851776B (zh) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 硅芯片边缘的处理方法
CN103320846B (zh) * 2010-05-19 2015-12-02 易生科技(北京)有限公司 支架抛光装置和支架抛光方法
TWI410532B (zh) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd 晶圓填孔垂直式電極電鍍設備
CN102140669B (zh) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 硅片电镀铜后的清洗方法
CN102427047B (zh) * 2011-09-28 2014-03-12 上海华力微电子有限公司 晶圆背面清洁设备以及晶圆背面清洁方法
SG194239A1 (en) * 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
CN102864486A (zh) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 电解抛光装置
WO2014089731A1 (en) * 2012-12-10 2014-06-19 Acm Research (Shanghai) Inc. Semiconductor wafer polishing method
JP6114060B2 (ja) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 基板搬送装置、基板受渡位置確認方法及び基板処理システム
CN103510149B (zh) * 2013-10-14 2015-11-18 陈功 一种带电解抛光液的湿式自动抛光方法及其设备
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
WO2017092029A1 (en) * 2015-12-04 2017-06-08 Acm Research (Shanghai) Inc. Apparatus for holding substrate
CN105780101B (zh) * 2016-01-27 2018-06-26 杨继芳 一种新型电解抛光设备
FR3049940B1 (fr) * 2016-04-06 2018-04-13 Saint- Gobain Glass France Dispositif de support pour feuille de verre notamment dans une installation de lavage
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
JP6756540B2 (ja) 2016-08-08 2020-09-16 株式会社荏原製作所 めっき装置、めっき装置の制御方法、及び、めっき装置の制御方法をコンピュータに実行させるためのプログラムを格納した記憶媒体
CN106191983B (zh) * 2016-08-12 2018-06-29 厦门大学 一种用于电化学刻蚀加工的微流控装置及其应用方法
CN106737805A (zh) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 一种多功能机器人夹爪
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
SG11202001659PA (en) * 2017-08-30 2020-03-30 Acm Res Shanghai Inc Plating apparatus
WO2019047086A1 (en) * 2017-09-07 2019-03-14 Acm Research (Shanghai) Inc. PLATE CHUCK
CN109560029B (zh) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 一种自动圆形硅片倒片机构
CN108406568B (zh) * 2018-05-21 2023-08-22 浙江工业大学 一种使用液态金属抛光液对叶片边缘抛光的装置及方法
CN108453651B (zh) * 2018-05-24 2024-05-07 明峰医疗系统股份有限公司 一种pet探测器轴定位工装
CN109652850B (zh) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 多通道片式电镀装置
CN109609996B (zh) * 2018-12-12 2020-12-18 东华大学 经编机槽针批量镀硬铬用组合挂具
CN109712923B (zh) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 一种晶圆周转装置及晶圆周转方法
CN109676274B (zh) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 一种释压机构及具有该释压机构的真空吸附和吸尘装置
CN109759957A (zh) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 环抛中抛光液的循环供液装置及供液方法
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
EP3851916A1 (en) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
JP7422586B2 (ja) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 基板処理装置および基板処理方法
CN111693852B (zh) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 一种塑封装元器件开封方法及开封装置
CN114473818B (zh) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 用于义齿的组合式调节型抛光夹具
CN114990549B (zh) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 一种汽车冲压模具铸件表面拉毛处理装置及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
EP0456426B1 (en) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
JPH07136885A (ja) * 1993-06-30 1995-05-30 Toshiba Corp 真空チャック
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
WO2000033356A2 (en) * 1998-11-28 2000-06-08 Acm Research, Inc Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법

Also Published As

Publication number Publication date
US20050218003A1 (en) 2005-10-06
EP1492907A1 (en) 2005-01-05
TW200402821A (en) 2004-02-16
JP2007077501A (ja) 2007-03-29
CN101353810A (zh) 2009-01-28
CA2479794A1 (en) 2003-10-23
JP2006328543A (ja) 2006-12-07
TWI274393B (en) 2007-02-21
JP2006319348A (ja) 2006-11-24
JP2007051377A (ja) 2007-03-01
KR20040099407A (ko) 2004-11-26
WO2003087436A1 (en) 2003-10-23
AU2003226319A1 (en) 2003-10-27
EP1492907A4 (en) 2008-01-09
SG159384A1 (en) 2010-03-30
CN100430526C (zh) 2008-11-05
CN1653211A (zh) 2005-08-10
JP2005522585A (ja) 2005-07-28

Similar Documents

Publication Publication Date Title
CN101353810B (zh) 用于对半导体晶片执行清洗的方法及装置
KR100445259B1 (ko) 세정방법 및 이를 수행하기 위한 세정 장치
JP5312923B2 (ja) 基板処理装置
CN1319130C (zh) 半导体基片处理装置及处理方法
US20220379356A1 (en) Cleaning device for cleaning electroplating substrate holder
EP1204139A1 (en) Rotation holding device and semiconductor substrate processing device
US20060081269A1 (en) Method and apparatus for cleaning and drying wafers
KR20060063808A (ko) 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법
JP2009293134A (ja) 電気化学堆積装置
WO2002020876A2 (en) Segmenting of processing system into wet and dry areas
KR20150138826A (ko) 저항성 기판들 상에서의 최적화된 전기 도금 성능을 위한 웨이퍼 에지의 금속화
US6492284B2 (en) Reactor for processing a workpiece using sonic energy
US7337663B2 (en) Sonic energy process chamber
JP2010225790A (ja) 基板の薄厚化方法および基板薄厚化装置
JP2009194090A (ja) 基板処理方法および基板処理装置
JPH02252238A (ja) 基板の洗浄装置
JPH10163154A (ja) 基板洗浄方法および装置
JP3901777B2 (ja) 基板洗浄装置のゲート装置
JPH10163159A (ja) 基板洗浄装置の処理チャンバ装置
Chen et al. Wet Cleaning Equipment
JPH10163150A (ja) 基板洗浄方法および装置
JPH10163147A (ja) 基板洗浄装置のチャッキング装置
KR100892089B1 (ko) 웨이퍼 세정 처리장치
JPH10163155A (ja) 基板洗浄装置の軸シール装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120215

Termination date: 20180408

CF01 Termination of patent right due to non-payment of annual fee