TW200402821A - Electropolishing and/or electroplating apparatus and methods - Google Patents

Electropolishing and/or electroplating apparatus and methods Download PDF

Info

Publication number
TW200402821A
TW200402821A TW092107906A TW92107906A TW200402821A TW 200402821 A TW200402821 A TW 200402821A TW 092107906 A TW092107906 A TW 092107906A TW 92107906 A TW92107906 A TW 92107906A TW 200402821 A TW200402821 A TW 200402821A
Authority
TW
Taiwan
Prior art keywords
wafer
patent application
item
scope
liquid
Prior art date
Application number
TW092107906A
Other languages
Chinese (zh)
Other versions
TWI274393B (en
Inventor
Hui Wang
Voha Nuch
Felix Gutman
Muhammed Afnan
Himanshu J Chokshi
Kerkwyk Mark Jacobus Van
Damon L Koehler
Peihaur Yih
My Hoang Nguyen
Ru Kao Chang
Frederick Ho
Original Assignee
Acm Res Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Acm Res Inc filed Critical Acm Res Inc
Publication of TW200402821A publication Critical patent/TW200402821A/en
Application granted granted Critical
Publication of TWI274393B publication Critical patent/TWI274393B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Robotics (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

In one aspect of the present invention, exemplary apparatus and methods are provided for electropolishing and/or electroplating processes for semiconductor wafers. One exemplary apparatus includes a cleaning module having an edge clean assembly to remove metal residue on the bevel or edge portion of a wafer. The edge cleaning apparatus includes a nozzle head configured to supply a liquid and a gas to a major surface of the wafer. The nozzle supplies the liquid in a region adjacent an outer edge of the major surface of the wafer, and supplies the gas radially inward of the location the liquid is supplied to reduce the potential of the liquid from flowing radially inward to the metal film formed on the wafer.

Description

200402821 (1) 玖、發明說明 相關申請之對照200402821 (1) 发明 Description of the invention

本申請案申明先前申請之臨時申請案的優先權。美國 申請文號 60/372 , 542 ,標題 “ MAINFRAMES FORThis application asserts the priority of the provisional application previously filed. United States Application No. 60/372, 542, titled "MAINFRAMES FOR

ELECTROPOLISHING AND/OR ELECTROPLATING AND/OR ELECTROPLATING ASSEMBLY”,申請日期 April 14 , 2002 ;文號 60/379,919,標題"END EFFECTOR SEAL”, 申請日期 April 8, 2002 ; 文號 60/370,95 5,標題 “METHOD AND APPARATUS FOR WAFER CLEANING”,申 請日期 April 8, 2002 ;文號 60/372,566,標題 “METHOD AND APPARATUS FOR ELECTROPOLISHING AND/OR ELECTROPLATING”,申言靑日期 April 14, 2002 ; 文號 60/370,95 6,標題 “METHOD AND APPARATUS FOR DELIVERING LIQUID”,申請曰期 April 8, 2002 ;ELECTROPOLISHING AND / OR ELECTROPLATING AND / OR ELECTROPLATING ASSEMBLY ", application date April 14, 2002; document number 60 / 379,919, title " END EFFECTOR SEAL", application date April 8, 2002; document number 60/370, 95 5 , Title "METHOD AND APPARATUS FOR WAFER CLEANING", application date April 8, 2002; document number 60 / 372,566, title "METHOD AND APPARATUS FOR ELECTROPOLISHING AND / OR ELECTROPLATING", statement dated April 14, 2002; document name 60/370, 95 6, title "METHOD AND APPARATUS FOR DELIVERING LIQUID", application date April 8, 2002;

文號 60/370, 929,標題 “METHOD AND APPARATUS FOR LEVELING WAFER”,申請曰期 April 8, 2002 ;文號Symbol 60/370, 929, title "METHOD AND APPARATUS FOR LEVELING WAFER", application date April 8, 2002; symbol

60/372 , 5 67 ,標題 “METHOD AND APPARATUS FOR ELECTROPOLISHING METAL FILM ON SUBSTRATE”, 申 請曰期 April 14, 2002 ;以及文號 60/390,460,標題 “ELECTROPLATING APPARATUS” ,申言靑日期 June 21 , 2 002,以上在此被它們的參考資料合倂。 【發明所屬之技術領域】 1.領域: (2) 200402821 本發明廣泛的涉及半導體處理,並且更特別的涉及電 拋光及/或電鍍半導體裝置上導體層的電拋光及/或電鍍裝 置及方法。 【先前技術】 利用一連串不同的處理步驟來產生電晶體和相互連接 元件,半導體裝置被製造或裝配在半導體晶圓上。爲了電 連接與半導體晶圓結合之電晶體端點,導體(例如金屬) 溝渠,金屬化孔,等諸如此類以電介體物質形成半導體裝 置的一部分。該溝渠和金屬化孔在電晶體,半導體裝置內 部電路和半導體裝置外部電路之間,連接電信號和電源。 在形成相互連接元件之中,半導體晶圓可能經歷光罩 ,刻蝕,和儲存處理來形成半導體裝置中想要的電子電路 。特別的,多重光罩和刻蝕步驟可以被執行,以形成下凹 區域的圖形在半導體晶圓上之電介層中,該下凹區域的圖 形作爲內部連接的溝渠和金屬化孔。然後一種儲存處理可 以被執行來儲存一金屬層在半導體晶圓,因此儲存金屬層 在溝渠和金屬化孔,以及還有在半導體晶圓的非下凹區域 。爲了隔離相互連接點,例如圖形的溝渠和金屬化孔,儲 存在半導體晶圓非下凹區域的金屬會被除去。 除去儲存在半導體晶圓上電介層中非下凹區域之金屬 膜的習知方法包括,例如,化學機械拋光(CMP ) 。CMP 方法是普遍知道的,並且廣泛運用在半導體工業上來拋光 和平面化在帶有電介層非下凹區域之溝渠與金屬化孔的範 -9- (3) (3)200402821 圍之中的金屬層以形成相互連接線。 然而CMP方法會因爲相對的強烈機械力涉入,而在 半導體結構之下產生有害的效應。例如,當相互連接平面 圖形移動0.1 3微米及以下,會在導體物質,例如銅的機 械性質和用在典型刻紋處理的低k膜之間存在一個大的差 距。例如,一低k電介膜的初期係數會比銅的量的十分之 一還少。結果,在CMP處理中其中之一,作用在電介膜 和銅上的機械力可以在半導體結構中引起涉及瑕疵的應力 ,包括分層,凹陷,侵蝕,膜隆起,刮痕或諸如此類。 新的處理裝置和技術因此被要求來儲存和拋光金屬層 。例如,利用電拋光或電鍍處理可以從晶圓中除去或儲存 一金屬層。通常,在電拋光或電鍍處理中,晶圓被拋光或 電鍍的部分侵入在電解溶液中,然後一電荷被加在晶圓上 。這些情況導致銅會依據加在晶圓上的相關電荷從該晶圓 被儲存或除去。 【發明內容】 本發明的方向之一是關於在一晶圓上作電拋光及/或 電鍍一導體膜的示範裝置及方法。該示範裝置包括不同的 處理模組例如淸結模組,處理模組,校準模組,和爲了執 行不同模組處理的不同裝置一樣,例如機器人學,末端受 動器,液體輸送系統,等諸如此類。 本發明的另一方向包括不同的裝置和處理方法。一示 範裝置包括具有一邊緣淸潔組件之淸潔模組,其目的爲淸 -10- (4) (4)200402821 除一晶圓主表面在斜角或邊緣部份的金屬殘渣。該邊緣淸 潔組件包括一種具有供應一種液體與一種氣體到晶圓主表 面之功能的噴嘴頭。該噴嘴頭供應液體在晶圓主表面之外 緣鄰近區,並且向被供應液體之位置的內部放射狀的供應 氣體。向液體被供應位置之內放射狀的集中該氣體到晶圓 表面上的一位置,可以立即的降低晶圓上液體放射狀的流 入隨即形成之金屬層的可能。 本發明在考慮與附隨的以下圖解和專利申請範圍關聯 之詳述會更好了解。 【實施方式】 爲了提供對本發明更進一步的了解,以下敘述設定許 多特定的細節,例如特定材料,參數等。然而,必須認知 的是該詳述並不意味本發明之領域上的限制,而是提供該 示範本體更好的敘述。 I.示範電刨光與/或電鍍組件 本發明第一方面包括半導體晶圓處理之示範電刨光與 /或電鍍組件,在一個例子中,一種爲了執行一個或多個 半導體晶圓的裝置可包含一種儲存晶圓模組,兩個或更多 個爲電刨光晶圓或電鍍晶圓之垂直堆積處理模組,一種淸 潔模組,以及一個爲了傳輸晶圓的機器人(具有類似末端 受動器)。該裝置可分爲兩個或更多個區域,這些區域藉 著分開的圖片被描述。一般而言該機器人在儲存晶圓模組 -11 - (5) (5)200402821 ,處理模組以及淸潔模組之間傳輸晶圓以執行在晶圓上想 要的處理。此外,其他不同的模組和特徵可以被包含在將 被描述的半導體晶圓處理。 圖一描述一示範電拋光及/或電鍍組件1 00之分解圖 。在此例中,組件100包括一主結構(backend。”BE”) 108和前結構(工廠介面,”FI”)132 ;然而,組件100可 以被分成更少或更多區域。 BE108可包含一個電底盤組件102,淸潔排出/處理排 氣管104,淸潔模組組件106,AC控制組件1 10,液體輸 送系統(LDS ) 112,氣體控制系統(GCS ) 114,處理排 水管1 1 6,啷筒和波浪消除器1 1 8,小屋排氣管1 20,處理 槽122,液體濾心124,液體密封托盤126,以及雙重密封 區128,處理模組組件130。 FI132可包含一晶圓先前校正器134,前鑲板136,光 源塔138,機器人結構組件140,機器人控制器142,緊急 機器停止(EMO )按鈕144,前開放一體式縱槽146,以 及風扇過濾器單元1 5 2。 組件100可以被拆成兩個部分,換言之,FI132和 BE 1 08,這兩個部分可以分開來運送並且在指定場所再組 件成一個單元。更進一步的來說,包括機器人組件1 47, 乾末端受動器1 48,濕末端受動器1 48,和機器人控制器 142的機器人結構組件140可以在,舉例來說,運送或保 養時從FI1 32拆開和轉出。組件1 00可以因此被模組化或 分開成許多部分以利於運送,淸潔,保養等。 •12- (6) (6)200402821 如圖一所示,前開放一體式縱槽1 4 6可包含一個或更 多縱槽來儲存晶圓。該乾末端受動器1 48將該晶圓1 50從 任何一個縱槽傳送至晶圓先前校正器1 34。晶圓先前校正 器134在濕末端受動器149接到晶圓之前校正晶圓150, 然後傳送該晶圓至處理模組組件1 30。該晶圓在模組之間 被其他方法或裝置來傳送是可以被確認的。 處理模組組件1 30可包含一個或更多個用來拋光晶圓 的電拋光組件,或著用來電鍍晶圓之電鍍組件1 3 1的架子 。電拋光組件或電鍍組件1 3 1可以被垂直的被疊成堆以減 少處理模組組件1 30的足跡。淸潔模組組件1 06可以包含 用來淸潔晶圓之淸潔室模組1 〇7的架子。同樣的,淸潔室 模組1 07可以被垂直的疊成堆。在晶圓1 50已經經過電拋 光或電鍍處理之後,濕末端受動器1 4 9傳送晶圓1 5 0至淸 潔室模組107。乾末端受動器148從淸潔室模組107接到 晶圓150然後再將晶圓傳回在前開放一體式縱槽146中的 縱槽。一般而言,當從前開放一體式縱槽1 46中的縱槽接 到並傳回晶圓1 5 0時,或著從淸潔室模組1 07接到晶圓 150時,”乾”末端受動器148會被利用。在處理之後”濕” 末端受動器149通常被用來接收回晶圓150,因爲晶圓 150從處理中可能會有殘渣。利用濕末端受動器149來限 制處理過晶圓的收回將會降低在乾末端受動器1 48,濕末 端受動器1 49,以及它們與組件1 00搬動和傳輸的該晶圓 之間交錯感染的可能性。 一個可以被用在與組件1 〇〇結合的示範電拋光組件在 -13- (7) (7)200402821 專利運用文號 PCT/US02/3 65 67 被描述,標題爲 ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS,申言靑日期爲 2 002年1 1月13號,在此全部從參考文獻中合倂。 如圖一所示,多數的電子設備被放在BE108,特別在 電底盤組件102和AC控制組件110。LDS112和GCS114 也位於BE108。 LDS1 12可包以包含DI水的輸送線,以及不同的化學 和/或電解液,其可以依據特殊的運用和被包括在組件1 〇〇 的處理模組來改變成分。GCS 114也可以包含不同的控制 閥,感測器,及輸送線來控制與監視不同化學品和液體的 運送。 啷筒和波浪消除器1 1 8從處理槽1 22抽取處理液體至 處理模組130。在處理液體流到處理模組組件130之前, 液體濾心1 24可以被包含在輸送線來過濾處理液體。在晶 圓150被處理之後,該處理液體可以經由處理排水管116 被吸進處理槽1 22內。任何從處理模組組件1 30和淸潔處 理模組1 06來的氣體,例如潛在有毒氣體,可以從處理排 氣管104排出。淸潔排水管/處理排氣管104可以也被用 來從淸潔模組組件104釋出DI水或氣體。小屋排氣管120 可以被用來釋出通常在BE 108內造成的氣體。FI132可以 包括一個提供在FI 1 32之中過濾後乾淨空氣的風扇濾心元 件。 BE108也包含液體密封托盤126和雙重密封區128。 (8) 200402821 液體密封托盤126在處理槽122溢出或供應線 下是有用的。液體密封托盤1 26還包括偵測洩 測器。雙重密封區1 28可容納從供應線路來的 應線路已經被外在管道阻絕。 一般而言供應線路,唧筒和波浪消除器1 心124,液體密封托盤126和雙重密封區128 酸和侵蝕的物質。 BE 1 08,FI132,和機器人結構組件140可 製成,3 1 6級的不鏽鋼尤佳。機器人組件1 4 7 不鏽鋼等製成。如果機器人組件1 47包括鋁或 蝕的物質,鋁部分的表面可以被鍍或塗上鐵弗 防止腐蝕。淸潔模組組件1 06可以由不鏽鋼, ,PVDF,聚胺脂,鐵弗龍等諸如此類來製成, 不鏽鋼尤佳。然而其他物質或塗層被預期用在 或FI132是可以被確認的。 電拋光或電鍍一半導體晶圓的示範處理由 圓的縱槽放在前開放一體式縱槽1 46之中開始 縱槽門被打開以允許機器人組件1 47進入其中 動器148拿起一晶圓。機器人組件147和乾 148傳送晶圓150至晶圓先前校正器134來校 。先前校正器134校正晶圓150之後,機器人 用濕末端受動器149將晶圓150從先前校正器 然後傳送晶圓150至電拋光組件或電鍍組件Π 路洩漏情況 漏的洩漏感 洩漏,而供 18,液體濾 可以包含抗 以由不鏽鋼 可以由鋁, 其它容易腐 龍等物質來 塑膠,PVC ,3 1 6級的 B E 1 0 8 和 / 一個裝著晶 。該縱槽或 再以末端受 末端受動器 正晶圓150 組件147利 134拿起, Π來做處理 (9) (9)200402821 在電拋光或電鍍處理之後,機器人組件1 47利用濕末 端受動器149將晶圓150從先前校正器134拿起,然後傳 送晶圓150至淸潔室模組107。在淸潔處理完成之後,乾 末端受動器148拿起晶圓150然後傳回晶圓150至 FOUP146中的縱槽。 另一示範處理包括多晶圓和多處理電拋光或電鍍組件 ,上述示範處理可以被運用第一個晶圓,如同運用在第二 ,第三晶圓等同時相似的步驟。 組件1 00的不同元件將在以下被詳述。雖然式範電拋 光和/或電鍍裝置有關某一實施例,範例和運用已被敘述 ,顯而易見的是,對現今技術而言,不同的改良與修正在 不背離本發明的情況下是可行的。 II.末端受動器相貌 在半導體組件方面,一示範末端受動器裝置和方法被 描述。末端受動器通常爲了傳送晶圓被運用在晶圓製造處 理,舉例來說,爲了進一步處理,如淸潔,儲存等,從一 處理模組傳到另一個。根據一實施力的一個示範末端受動 器包括一個用來確實抓住和傳送一半導體的真空杯密封。 一示範末端受動器可以被包含在一個半導體處理組件,明 確的說’半導體組件中的機器人組件。該示範末端受動器 可以更確實的抓住一半導體晶圓表面,而導致可以更準確 和可靠的傳送晶圓到目的地。 圖二描述一個在處理組件中傳送半導體晶圓的示範機 -16- (10) 200402821 器人組件。機器人組件包括與機器人組件的示範末 器206,其目的爲拿起與傳送晶圓216。末端受動 製造一真空狀態在末端受動器206下面以穩固晶圓 從一模組傳送到另一個。末端受動器206可以藉著 空來放置或放開晶圓2 1 6,或增加壓力使得地心引 該密閉,然後晶圓2 1 6從末端受動器206被釋放。 末端受動器206可以藉著相對於外在環境較小的壓 晶圓2 1 6的下面,在傳送時抓住晶圓以防震動,加; 圖三更詳細的描述示範末端受動器306的一面 三所示,末端受動器306與一個由真空閥控制322 源和一個由氮氣閥320控制的加壓氮氣源結合。當 322被開啓時,真空源與末端受動器306連結,接 低真空杯302中的壓力來使末端受動器306抓住晶 。當真空閥3 22被關閉時氮氣閥320會開啓,末端 3 06會在真空杯3 02內的壓力增加時從真空杯釋 216 ° 必須被了解的是絕對真空或幾乎真空是不需要 傳送間不如相對處理環境夠低的壓力來抓住保晶圓 確可抵抗地心引力,震動,加速等。更進一步,氮 的氣體,例如空氣等可以被用來導入氣體並且再釋 時增加壓力。 當晶圓不被抓住或傳送時,氮氣閥可以保持開 化微粒和/或抗防止酸進入真空杯3 0 2,或著防止藉 真空杯302內接近或比周圍環境更大的壓力所導致 端受動 器206 216能 解除真 力克服 此外, 力抓住 速等。 。如圖 的真空 真空閥 著會降 圓2 1 6 受動器 放晶圓 的;在 216並 氣以外 放晶圓 啓以淨 由保持 在末端 (11) 200402821 受動氣3 06內的真空導入。 圖四A和圖四B描述示範末端受動器 示和橫截面圖視,其中包括真空杯402,蘑 溝道405,刪除部分408 (減輕末端受動器 道4 1 2,以及螺絲4 1 6 (爲連接至一機器人 )。末端受動氣406可已在製造時使用包括 料,例如不鏽鋼,鋁,不同的合金或金屬, 〇 如圖三和圖四A所示,一真空源經由真 於末端受動器406主要面和末端的裝置414 真空道412可以是一體成形,或著在末端受 如圖示),或著經由一個位於附著在末端受 如在末端受動器406反面,的分離通道。 藉著真空道412所產生的降壓或真空, 末端受動器406的晶圓靠著真空杯402被拉 介於該晶圓反面和末端受動器406的真空杯 時密封。真空杯402可以是任何適合的形狀 ,伸長圓形,方形等諸如此類。真空杯402 蓋4 0 4邊緣,並解擴展到末端受動器4 0 6的 杯4 02可以包括一個彈性體,矽膠,或其它 材料通常識具有彈性或著可以與一晶圓產生 並且不會對晶圓造成刮痕或裂痕的損壞。 如圖四 A至四B所示,爲了增加真空 淺溝道405穿過蘑菇狀蓋404被形成,例如 406的平面圖 菇狀蓋404, 重量),真空 ,或諸如此類 任何適合的材 陶瓷,塑膠等 空道4 1 2和位 來消除空氣。 動器406內( 動器406 ,例 一個位於鄰近 或推,來造成 402之間的暫 ,例如橢圓形 安裝在蘑菇狀 表面上。真空 適合材料,該 一暫時密封, 的抓力,一個 預防晶圓4 1 6 (12) (12)200402821 堵塞裝置414。溝道405將蘑菇狀蓋404的上面分成兩個 半圓。這個淺溝道405可以也是交錯髮狀,方形,圓形, 或著其他適合的形狀來改善吸力和末端致動器406的真空 ,並且降低裝置4 1 4被阻塞的可能性。蘑菇狀蓋404可以 由與末端受動器類似的材料所製成,例如金屬或塑膠。在 一個例子中,蘑菇狀蓋404與末端受動器406的末端有相 似的高度(見圖四B ) ’如此當經騙被真空杯402拉起時 ,晶圓靠著兩個末端和蘑菇狀蓋404被拉起。 圖八描述真空杯的剖試圖,該真空杯可以被包括在一 示範末端受動器。如圖八所示,真空杯通常是一個形成在 末端受動器表面上的洞,該真空杯包括一底部8 1 8和通常 傾斜角度α的邊牆8 20。α可以跟居特殊運用在〇到1 80 度之間變化,在5到50度之間較適合,大約在30度最好 。邊牆8 2 0可以延伸到末端受動器表面以上Η高度,來與 晶圓形成密封狀態。藉著額外的圖四A,四Β,和八的參 考,末端受動器會被放在適當的位置,如此當氣體經由真 空道410從裝置414被排出時,晶圓416來與邊牆820的 邊源接觸。真空杯402藉著真空杯402的洞裏產生的真空 來拉或抓住晶圓416。壓力差會製造足夠的力量來維持晶 圓4 1 6上大於地心引力的抓力。爲了從末端受動器4 1 6放 開晶圓416,氣體(例如氮氣等)可以經由裝置414再經 由真空道410導入來藉著裝置4M來增加壓力,如此抓力 會被地心引力克服。 圖五描述另一示範末端受動器506的平面圖視。在圖 (13) (13)200402821 五裏描述的末端受動器5〇6與圖三,四A和四B裏描述 的相似’除了末端受動器5〇6包括三個裝置514和三個真 空杯5 02。該裝置514和真空杯502可以根據末端受動器 5 06的設計和特殊運用,被放在末端受動器5〇6上不同的 位置°更進一步的,一個末端受動器的形狀可以包括任何 適合的形狀’例如馬蹄鐵形,長方形,圓形,具有單叉或 多叉的叉狀等,諸如此類。 11 7\描述另一示範末端受動器606的平面圖視。末端 受動器606與圖四a和四B裏描述的相似,除了末端受 動器606具有數個真空杯602,此例中有五個真空杯602 ’每一個包括一個伸長的(換言之,非圓形)蘑菇狀蓋 604 °更進—步的,末端受動器606包括一個位於鄰近如 圖五反面的裝置614的一般真空道,其包括爲每一個分開 的裝置514分岔開的真空道。 圖七描述另~示範末端受動器706的平面圖視。末端 受動器706與圖三a和三B裏描述的相似,除了 一個真 空杯702包括數個裝置714在其中。此例的真空杯702的 形狀像馬蹄鐵,但具有真空杯4 〇 2相似的功能,並且包括 數個與伸長形蘑菇狀蓋6〇4相似的伸長形蘑菇狀蓋704。 雖然示範末端受動器密封已經從某些例子和運用中被 描述,顯而易見的是,對現今技術而言,不同的改良與修 正在不背離本發明的情況下是可行的。舉例而言,藉著真 空杯來製造真空的不同方法是被預期的,如同當抓住和傳 送一個晶圓時,用其它不同形狀和結構的真空杯和蘑菇狀 -20- (14) (14)200402821 蓋來製造密封狀態。 III.晶圓淸潔方式和裝置 在一個半導體組件的一示範觀點中,一示範晶圓淸潔 方法和裝置被描述。該示範晶圓淸潔方法和裝置,可以在 電拋光或電鍍處理之前淸除晶圓的殘骸和微粒,如同在電 拋光或電鍍處理之後淸除晶圓上的處理液。例如,在電拋 光處理後,晶圓主表面的外圍區域邊緣(往往稱爲”斜邊 區”)可能包含銅渣。想要做的是從外部區域溶蝕掉該銅 渣,並且在沒有損壞晶圓內部薄金屬層的情況下淸潔晶圓 。在一觀點下一個淸潔模組包括一邊緣淸潔組件來淸除晶 圓外部或邊緣上的金屬殘渣。該邊緣淸潔裝置包括裝配一 噴嘴頭來供應一種液體和一種氣體到晶圓的主表面。該噴 嘴在邊緣區供應該液體並且在邊緣的內緣區供應該種氣體 ,以降低晶圓上液體放射狀的流入金屬層的可能。 圖九A至九C描述一個爲淸潔晶圓的示範淸潔室模 組的不同視角。如圖九A至九C所示,該示範淸潔室模 組可以包括一半球型蓋子902,淸潔室視窗904,圓筒蓋 子9 0 6,洩漏感測器9 0 8,油滴盤排管9 1 0,基塊9 1 2,油 滴盤夾鉗9 1 4,油滴盤9 1 6,底部室9 1 8,夾頭·馬達組件線 路斷流器9 2 0,兩個DI水噴頭9 2 2 (後面)和9 2 6 (上面 ),兩個氮氣噴頭924 (後面)和928 (上面),邊緣淸 潔組件9 3 0,光學感測器9 3 2,晶圓前面化學藥劑噴嘴9 3 4 ,夾頭936,排放盤93 8,上部室940,排氣和排水管942 (15) 200402821 ,氮氣縣944,邊緣淸潔蓋946, 晶圓後面化學藥劑噴嘴 948,以及夾頭馬達組件950。除了一個化學藥劑噴嘴934 以外,伊個淸潔室模組可以包括一個或更多個的化學藥劑 噴嘴。60/372, 5 67, title "METHOD AND APPARATUS FOR ELECTROPOLISHING METAL FILM ON SUBSTRATE", application date April 14, 2002; and document number 60 / 390,460, title "ELECTROPLATING APPARATUS", statement date June 21, 2 002, the above is combined by their reference here. [Technical Field to which the Invention belongs] 1. Field: (2) 200402821 The present invention relates broadly to semiconductor processing, and more particularly to an electropolishing and / or electroplating device and method for electropolishing and / or electroplating a conductor layer on a semiconductor device. [Prior Art] A series of different processing steps are used to generate transistors and interconnected components. Semiconductor devices are manufactured or assembled on semiconductor wafers. In order to electrically connect the terminals of a transistor combined with a semiconductor wafer, conductors (e.g., metal) trenches, metallized holes, and the like form a part of a semiconductor device with a dielectric substance. The trench and the metallization hole connect electric signals and power between the transistor, the internal circuit of the semiconductor device and the external circuit of the semiconductor device. In forming interconnected components, semiconductor wafers may undergo masking, etching, and storage processes to form the desired electronic circuits in a semiconductor device. In particular, multiple photomasks and etching steps may be performed to form a pattern of recessed areas in the dielectric layer on the semiconductor wafer, the pattern of the recessed areas serving as internally connected trenches and metallization holes. A storage process can then be performed to store a metal layer in the semiconductor wafer, so storing the metal layer in the trenches and metallized holes, and also in the non-recessed areas of the semiconductor wafer. To isolate interconnecting points, such as patterned trenches and metallized holes, the metal stored in the non-recessed areas of the semiconductor wafer is removed. Conventional methods for removing a metal film stored in a non-recessed area in a dielectric layer on a semiconductor wafer include, for example, chemical mechanical polishing (CMP). The CMP method is widely known, and is widely used in the semiconductor industry to polish and planarize trenches and metallized holes with non-concave regions of the dielectric layer in the range of -9- (3) (3) 200402821. Metal layers to form interconnecting lines. However, the CMP method can cause harmful effects under the semiconductor structure due to the relatively strong mechanical force involved. For example, when interconnected planar patterns move by 0.1 3 microns and below, there is a large gap between the mechanical properties of conductive materials such as copper and low-k films used in typical engraving processes. For example, the initial coefficient of a low-k dielectric film will be less than one tenth of the amount of copper. As a result, in one of the CMP processes, mechanical forces acting on the dielectric film and copper can cause stresses involving defects in the semiconductor structure, including delamination, dents, erosion, film bulges, scratches, and the like. New processing devices and technologies are therefore required to store and polish metal layers. For example, a metal layer can be removed or stored from a wafer using an electropolishing or plating process. Generally, in an electropolishing or electroplating process, a polished or plated portion of a wafer intrudes into an electrolytic solution, and a charge is then applied to the wafer. These conditions cause copper to be stored or removed from the wafer in accordance with the associated charge on the wafer. SUMMARY OF THE INVENTION One of the directions of the present invention is an exemplary device and method for electropolishing and / or electroplating a conductor film on a wafer. The demonstration device includes different processing modules such as knotting modules, processing modules, calibration modules, as well as different devices for performing different module processing, such as robotics, end effectors, liquid delivery systems, and the like. Another aspect of the invention includes different devices and processing methods. An exemplary device includes a cleaning module with an edge cleaning module, the purpose of which is to remove -10 (4) (4) 200402821 metal residues on a bevel or edge portion of a wafer's main surface. The edge cleaning assembly includes a nozzle head having a function of supplying a liquid and a gas to a main surface of a wafer. The nozzle head supplies liquid to the vicinity of the outer edge of the main surface of the wafer, and supplies gas radially to the inside of the position where the liquid is supplied. Concentrating the gas radially to a position on the surface of the wafer within the position where the liquid is supplied can immediately reduce the possibility of the liquid flowing radially on the wafer into the metal layer that is then formed. The invention will be better understood in consideration of the accompanying drawings and detailed descriptions associated with the scope of the patent application. [Embodiment] In order to provide a further understanding of the present invention, the following description sets many specific details, such as specific materials, parameters, and the like. It must be recognized, however, that this detailed description does not imply a limitation on the field of the invention, but rather provides a better description of the exemplary ontology. I. Demonstration Planer and / or Plating Assembly The first aspect of the invention includes a demonstration planer and / or plating assembly for semiconductor wafer processing. In one example, a device for performing one or more semiconductor wafers Contains a storage wafer module, two or more vertical stacking processing modules for planed wafers or plated wafers, a cleaning module, and a robot (with similar end effectors) for wafer transfer Device). The device can be divided into two or more areas, which are described by separate pictures. Generally speaking, the robot transfers wafers between the storage wafer module -11-(5) (5) 200402821, the processing module and the cleaning module to perform the desired processing on the wafer. In addition, other different modules and features can be included in the semiconductor wafer processing to be described. Figure 1 depicts an exploded view of an exemplary electropolished and / or plated assembly 100. In this example, the component 100 includes a main structure (backend. "BE") 108 and a front structure (factory interface, "FI") 132; however, the component 100 may be divided into fewer or more areas. BE108 may include an electric chassis assembly 102, Jiejie exhaust / treatment exhaust pipe 104, Jiejie module assembly 106, AC control module 1 10, liquid delivery system (LDS) 112, gas control system (GCS) 114, and treatment drainage Tubes 1 1 6, bowls and wave eliminators 1 1 8, cabin exhaust pipes 1 20, processing tank 122, liquid filter 124, liquid-sealed tray 126, and double-sealed area 128, processing module assembly 130. FI132 may include a wafer previous corrector 134, front panel 136, light source tower 138, robot structural assembly 140, robot controller 142, emergency machine stop (EMO) button 144, front open integrated vertical slot 146, and fan filter器 Units 1 5 2. The module 100 can be disassembled into two parts, in other words, FI132 and BE 108, which can be transported separately and assembled into a unit at a designated place. Furthermore, the robotic structural component 140 including the robotic component 1 47, the dry end effector 1 48, the wet end effector 1 48, and the robot controller 142 may, for example, be transported or serviced from FI 1 32 Disassemble and roll out. Module 100 can therefore be modularized or divided into many parts to facilitate transportation, cleaning, maintenance, etc. • 12- (6) (6) 200402821 As shown in Figure 1, the front open integrated vertical slot 1 4 6 can contain one or more vertical slots to store wafers. The dry end effector 1 48 transfers the wafer 150 from any of the longitudinal grooves to the wafer previous corrector 1 34. The wafer pre-calibrator 134 calibrates the wafer 150 before the wet end effector 149 receives the wafer, and then transfers the wafer to the processing module assembly 130. It can be confirmed that the wafer is transferred between modules by other methods or devices. The processing module assembly 130 may include one or more electro-polishing assemblies for polishing wafers, or racks for plating assemblies 131 for plating wafers. The electro-polishing assembly or plating assembly 1 3 1 may be stacked vertically to reduce the footprint of the process module assembly 1 30. The cleaning module assembly 106 may include a shelf of the cleaning room module 107 for cleaning wafers. Similarly, clean room modules 107 can be stacked vertically. After the wafer 150 has been electropolished or plated, the wet end effector 1 4 9 transfers the wafer 150 to the clean room module 107. The dry end effector 148 receives the wafer 150 from the clean room module 107 and then transfers the wafer back to the vertical slot in the front open integrated vertical slot 146. Generally speaking, when the vertical slot in the previously open integrated vertical slot 146 is received and returned to the wafer 150, or when it is received from the clean room module 107 to the wafer 150, the "dry" end The actuator 148 is utilized. The "wet" end effector 149 is typically used to receive the wafer 150 back after processing, as the wafer 150 may have residues from processing. The use of wet end effector 149 to limit the withdrawal of processed wafers will reduce the staggered infection between dry end effector 1 48, wet end effector 1 49, and the wafers that they move and transport with component 100. Possibility. A demonstration electro-polishing assembly that can be used in combination with assembly 100 is described in -13- (7) (7) 200402821 patent application number PCT / US02 / 3 65 67 and titled ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS, the statement date is January 13, 2000, all of which are combined from the references. As shown in Figure 1, most of the electronic equipment is placed on the BE 108, especially the electric chassis assembly 102 and the AC control assembly 110. LDS112 and GCS114 are also located at BE108. LDS1 12 can be packaged with a conveyor line containing DI water, as well as different chemistries and / or electrolytes, whose composition can be changed depending on the particular application and the processing module included in the module 1000. GCS 114 can also contain different control valves, sensors, and conveyor lines to control and monitor the movement of different chemicals and liquids. The drum and wave eliminator 1 1 8 draws the processing liquid from the processing tank 1 22 to the processing module 130. Before the processing liquid flows to the processing module assembly 130, the liquid filter element 1 24 may be included in a conveying line to filter the processing liquid. After the wafer 150 is processed, the processing liquid can be sucked into the processing tank 12 through the processing drain pipe 116. Any gas from the processing module assembly 130 and the cleaning processing module 106, such as potentially toxic gases, can be discharged from the processing exhaust pipe 104. The Jiejie drain / treatment exhaust pipe 104 may also be used to release DI water or gas from the Jiejie module assembly 104. The cabin exhaust pipe 120 may be used to release gas that is normally caused within the BE 108. The FI132 can include a fan filter element that provides clean air filtered in FI 1 32. The BE 108 also contains a liquid-sealed tray 126 and a double-sealed area 128. (8) 200402821 The liquid-tight tray 126 is useful when the processing tank 122 overflows or below the supply line. The liquid-tight tray 126 also includes a detection leak detector. The double seal zone 1 28 can accommodate the application line from the supply line which has been blocked by external pipes. Generally speaking, the supply line, drum and wave eliminator 1 core 124, liquid-sealed tray 126, and double-sealed area 128 are acid and aggressive substances. BE 1 08, FI 132, and robotic structural components 140 can be made. Stainless steel grades 3 1 to 6 are particularly preferred. Robot components are made of 1 4 7 stainless steel. If the robot assembly 147 includes aluminum or an etched substance, the surface of the aluminum portion may be plated or coated with iron oxide to prevent corrosion.淸 Jie module assembly 06 can be made of stainless steel, PVDF, polyurethane, Teflon, etc., stainless steel is particularly preferred. However, other substances or coatings are expected to be used or FI132 can be identified. Demonstration process for electropolishing or electroplating a semiconductor wafer. A round longitudinal slot is placed in the front open integrated longitudinal slot 1 46. The longitudinal slot door is opened to allow the robotic assembly 1 47 to enter therein and the actuator 148 to pick up a wafer. . The robot assembly 147 and the stem 148 transfer the wafer 150 to the wafer pre-calibrator 134 for calibration. After the previous calibrator 134 calibrates the wafer 150, the robot uses the wet end effector 149 to transfer the wafer 150 from the previous calibrator and then transfer the wafer 150 to the electro-polishing component or electroplating component. The liquid filter can contain plastics made of stainless steel, aluminum, other materials that easily rot, etc., PVC, grade 3 1 6 BE 1 0 8 and / or a crystal. The vertical groove may be picked up by the end effector end effector positive wafer 150 module 147 and 134, and then processed (9) (9) 200402821 After the electropolishing or plating process, the robot assembly 1 47 uses the wet end effector. 149 The wafer 150 is picked up from the previous corrector 134, and then the wafer 150 is transferred to the clean room module 107. After the cleaning process is completed, the dry end effector 148 picks up the wafer 150 and returns the wafer 150 to the vertical groove in the FOUP 146. Another exemplary process includes multi-wafer and multi-process electro-polishing or electroplating components. The above-mentioned exemplary process can be applied to the first wafer, similarly to the second and third wafers. The different elements of the module 100 will be described in detail below. Although the conventional electropolishing and / or electroplating device has been described with respect to a certain embodiment, examples and applications, it is obvious that for the present technology, different improvements and modifications are possible without departing from the present invention. II. End effector aspect In the context of semiconductor components, an exemplary end effector device and method are described. End effectors are usually used in wafer manufacturing processes to transfer wafers, for example, for further processing, such as cleaning, storage, etc., from one processing module to another. An exemplary end effector according to an implementation force includes a vacuum cup seal for securely grasping and transferring a semiconductor. An exemplary end effector may be contained in a semiconductor processing module, specifically, a robotic module in a semiconductor module. This demonstration end effector can more accurately grasp the surface of a semiconductor wafer, resulting in more accurate and reliable wafer transfer to the destination. Figure 2 depicts an example machine that transfers semiconductor wafers in a processing module. -16- (10) 200402821 Robotic module. The robotic assembly includes a demonstration terminal 206 with a robotic assembly, the purpose of which is to pick up and transfer the wafer 216. End effector A vacuum is created under the end effector 206 to stabilize the wafer from one module to another. The end effector 206 may place or release the wafer 2 1 6 by emptying it, or increase the pressure so that the center of gravity guides the airtight, and then the wafer 2 1 6 is released from the end effector 206. The end effector 206 can hold the wafer to prevent vibration during conveyance by pressing the lower side of the wafer 2 1 6 relative to the external environment; FIG. 3 describes one side of the exemplary end effector 306 in more detail. As shown in Figure 3, the end effector 306 is combined with a source controlled by a vacuum valve 322 and a source of pressurized nitrogen controlled by a nitrogen valve 320. When 322 is turned on, the vacuum source is connected to the end effector 306, and the pressure in the vacuum cup 302 is lowered to cause the end effector 306 to grasp the crystal. When the vacuum valve 3 22 is closed, the nitrogen valve 320 will open, and the end 3 06 will release 216 ° from the vacuum cup when the pressure in the vacuum cup 3 02 increases. It must be understood that absolute vacuum or almost vacuum is not necessary. The pressure relative to the processing environment is low enough to grasp the wafer to ensure that it can resist gravity, vibration, acceleration, etc. Furthermore, nitrogen gas, such as air, can be used to introduce the gas and increase the pressure during re-release. When the wafer is not grasped or transferred, the nitrogen valve can keep the encapsulation particles and / or prevent the acid from entering the vacuum cup 302, or prevent the end caused by the vacuum cup 302 approaching or greater pressure than the surrounding environment. The actuators 206 216 can release the true force to overcome the force, and the force can catch the speed. . As shown in the figure, the vacuum valve will round down. 2 1 6 The actuator will place the wafer; the wafer will be placed outside the 216 parallel chamber. Figures 4A and 4B depict an exemplary end effector and a cross-sectional view, including a vacuum cup 402, a mushroom channel 405, a deletion 408 (reduced end effector channel 4 1 2 and a screw 4 1 6 (for (Connected to a robot). The end effector 406 may have been used in manufacturing including materials such as stainless steel, aluminum, different alloys or metals. As shown in Figures 3 and 4A, a vacuum source passes through the end effector. The device 414 on the main surface and the end of the 406 vacuum channel 412 may be integrally formed, or received at the end as shown in the figure), or through a separate channel located on the opposite side of the end effector 406. By the depressurization or vacuum generated by the vacuum channel 412, the wafer of the end effector 406 is sealed against the vacuum cup 402 when it is pulled between the reverse side of the wafer and the vacuum cup of the end effector 406. The vacuum cup 402 may be any suitable shape, such as an elongated circle, a square, or the like. The vacuum cup 402 covers the edge of the 4 0 4 and unfolds the cup 4 02 of the end effector 4 0 6 may include an elastomer, silicone, or other material that is generally elastic or can be produced with a wafer and will not Damage caused by scratches or cracks on the wafer. As shown in Figures 4A to 4B, in order to increase the vacuum shallow channel 405 is formed through the mushroom-shaped cover 404, such as a plan view of the 406 mushroom-shaped cover 404, weight), vacuum, or any suitable material such as ceramics, plastic, etc. Empty lanes 4 1 2 and bits to eliminate air. Within the actuator 406 (such as an actuator located adjacent or pushed, to cause a temporary between 402, such as elliptical installation on a mushroom-shaped surface. The vacuum is suitable for the material, this temporarily sealed, the holding force, a crystal Circle 4 1 6 (12) (12) 200402821 Blocking device 414. The channel 405 divides the top of the mushroom-shaped cover 404 into two semicircles. This shallow channel 405 can also be staggered, square, round, or other suitable Shape to improve suction and vacuum of the end effector 406, and reduce the possibility of the device 4 1 4 being blocked. The mushroom cover 404 can be made of a material similar to the end effector, such as metal or plastic. In the example, the mushroom-shaped cover 404 has a similar height to the end of the end effector 406 (see Figure 4B). 'So when the vacuum cup 402 is pulled up, the wafer is held against the two ends and the mushroom-shaped cover 404. Pull up. Figure 8 depicts a cross-section view of a vacuum cup that can be included in an exemplary end effector. As shown in Figure 8, a vacuum cup is usually a hole formed in the surface of the end effector. The vacuum cup includes One The part 8 1 8 and the side wall 8 20 with a generally inclined angle α. Α can be used for special applications ranging from 0 to 180 degrees, more suitable between 5 to 50 degrees, and about 30 degrees is best. Side walls 8 2 0 can be extended to a height above the surface of the end effector to form a sealed state with the wafer. With the additional reference of Figures 4A, 4B, and 8, the end effector will be placed in the appropriate position, so When the gas is exhausted from the device 414 via the vacuum channel 410, the wafer 416 comes into contact with the edge source of the side wall 820. The vacuum cup 402 pulls or grasps the wafer 416 by the vacuum generated in the hole of the vacuum cup 402. Pressure The difference will create enough force to maintain the gravitational force on the wafer 4 1 6 that is greater than the gravity. To release the wafer 416 from the end effector 4 1 6, gas (such as nitrogen) can pass through the device 414 and then the vacuum channel. 410 is introduced to increase the pressure through the device 4M, so that the grip will be overcome by gravity. Figure 5 depicts a plan view of another exemplary end effector 506. The end effector described in Figure (13) (13) 200402821 The device 506 is similar to the one described in Figures 3, 4A and 4B. The end effector 506 includes three devices 514 and three vacuum cups 502. The device 514 and the vacuum cup 502 can be placed on the end effector 506 according to the design and special application of the end effector 506. The position ° goes one step further, and the shape of an end effector may include any suitable shape, such as a horseshoe shape, a rectangular shape, a round shape, a fork shape having a single fork or a multi-fork, and the like. A plan view of the actuator 606. The end effector 606 is similar to that described in Figures 4a and 4B, except that the end effector 606 has several vacuum cups 602. In this example, there are five vacuum cups 602 ', each of which includes an extension. The (in other words, non-circular) mushroom-shaped cover 604 ° is more advanced. The end effector 606 includes a general vacuum channel located adjacent to the device 614 on the opposite side of FIG. 5, which includes a branch 514 for each separate device Opened vacuum tunnel. FIG. 7 depicts a plan view of another exemplary end effector 706. The end effector 706 is similar to that described in Figures 3a and 3B, except that a vacuum cup 702 includes several devices 714 therein. The vacuum cup 702 of this example is shaped like a horseshoe, but has a function similar to that of the vacuum cup 402, and includes several elongated mushroom-shaped caps 704 similar to the elongated mushroom-shaped cap 604. Although exemplary end effector seals have been described from certain examples and applications, it is apparent that different improvements and modifications are possible for today's technology without departing from the invention. For example, different methods of manufacturing vacuum by vacuum cups are expected, as when holding and transferring a wafer, using other vacuum cups and mushrooms of different shapes and structures -20- (14) (14 200402821 cover to make a sealed state. III. Wafer Cleaning Method and Apparatus In an exemplary viewpoint of a semiconductor device, a demonstration wafer cleaning method and apparatus are described. This exemplary wafer cleaning method and apparatus can remove debris and particles from the wafer before the electropolishing or plating process, just like removing the processing fluid from the wafer after the electropolishing or plating process. For example, after electropolishing, the peripheral area edges (often referred to as "beveled areas") of the wafer's main surface may contain copper slag. What I want to do is dissolve the copper slag from the outer area and clean the wafer without damaging the thin metal layer inside the wafer. In one aspect, a cleaning module includes an edge cleaning module to remove metal residues on the outside or edges of the wafer. The edge cleaning device includes a nozzle head for supplying a liquid and a gas to a major surface of a wafer. The nozzle supplies the liquid in the edge region and the gas in the inner edge region of the nozzle to reduce the possibility of the liquid on the wafer flowing radially into the metal layer. Figures 9A to 9C depict different perspectives of an exemplary cleanroom module for a cleanroom wafer. As shown in FIGS. 9A to 9C, the exemplary clean room module may include a hemispherical cover 902, a clean room window 904, a cylindrical cover 9 06, a leak sensor 9 0 8 and an oil drip tray. Tube 9 1 0, base block 9 1 2, oil drip disc clamp 9 1 4, oil drip disc 9 1 6, bottom chamber 9 1 8, chuck motor assembly circuit breaker 9 2 0, two DI water Nozzles 9 2 2 (rear) and 9 2 6 (upper), two nitrogen nozzles 924 (rear) and 928 (upper), edge cleaning assembly 9 3 0, optical sensor 9 3 2, chemical in front of wafer Nozzle 9 3 4, chuck 936, drain pan 93 8, upper chamber 940, exhaust and drain 942 (15) 200402821, nitrogen county 944, edge cleaning cap 946, chemical nozzle behind wafer 948, and chuck Motor assembly 950. In addition to one chemical nozzle 934, the clean room module may include one or more chemical nozzles.

晶圓901可以藉著末端致動器903等放入淸潔室。當 爲了淸潔處理而決定將晶圓901放在夾頭936上適當的位 置時,夾頭馬達組件950可以繞著與晶圓主表面垂直的軸 來旋轉夾頭93 6和晶圓901。當夾頭936和晶圓901以大 約轉速30ι·ριη旋轉時,DI水噴頭922和926可以供應DI 水流到晶圓90 1的上表面和下表面。該DI水可以流經過 晶圓901再流向淸潔室的牆,並且經由排放盤9 3 8排放到 排氣和排水管942。爲了將晶圓901上的DI水排除到乾, 夾頭馬達組件950可以增加轉速到2000rpm ± lOOOrpm。兩 個氮氣噴頭924和928接著可以供應氮氣流(或其它適合 氣體)到晶圓901的上表面和下表面,進一步來從晶圓 901的上表面和下表面淸除DI水。 在晶圓901被淸潔和弄乾並且夾頭馬達組件950被停 止之後,邊緣淸潔組件930爲了邊緣淸潔而滑入位置。圖 十A至十B描述一示範晶圓邊緣淸潔組件930,其包括 DI水管1 006,桿子1010,接合桿子1 008,托架1012,鏍 釘1 〇 1 4,空氣管圓筒1 〇 1 6,可調式鏍釘1 0 1 8,流量調節 器1 020,壓縮空氣管1022,桿子夾鉗1 024,酸管1 026, 氮氣管1 028,噴嘴頭1 030,桿刷1 032,氮氣噴嘴1 034, 以及液體噴嘴1 03 6。邊緣淸潔組件930的長度可以爲 -22- (16) (16)200402821 2 0 0mm,3 00mm晶圓的用途而調整,或著爲了其它尺寸來 增加或減少桿子1 008。晶圓901上面與氮氣噴嘴1 034之 間的間隔可以在0.1到10mm的範圍內,並且液體噴嘴 1 036可以被放在邊緣區域1 004的上面。 圖十一 A至圖C分別描述一個與邊緣淸潔模組 包含在一起之示範噴嘴頭1 030的平面圖示,側面圖示, 和前面圖示。如圖十一 A至圖十一 C所是,氮氣噴嘴頭 1 034製造一接近晶圓901邊緣的氮氣幕狀物1102。在示 範邊緣淸潔處理中,晶圓901可以大約轉速50〜5OOrpm的 轉速旋轉,最好在200rpm。液體噴嘴1 036供應化學藥劑 流來形成晶圓 901外主表面上或邊緣區1004大約10mm 寬的薄膜。該化學藥劑淸除金屬層或金屬殘渣,但是該化 學藥劑可能會意外的流向晶圓90 1中心,此現象可能會在 金屬層上產生有害效應。不停的化學藥劑可以被用來蝕刻 邊緣區域1 004裏的金屬殘渣。例如10%的H2S〇4和20%的 Η2〇2溶液可以被用來從邊緣區1004蝕刻銅金屬。還有, 爲了增加蝕刻速率,該化學溶液可以被加熱25 °C至80°C 到的範圍。 爲了降低化學藥劑從邊緣向內擴散的可能性,氮氣噴 嘴1 0 3 4在邊緣區1 〇 〇 4內緣供應或引導一氣體流,例如氮 氣,來產生一氮氣幕狀物Π ’以防止或至少降低化學 藥劑向晶圓90 1中心擴散的可能性。在邊緣區1 〇〇4被淸 潔之後,液體噴嘴1036可以供應DI水的噴射液體1104 來稀釋和/或沖洗掉晶圓9 0 1邊緣區1 0 0 4上的化學樂劑。 (17) (17)200402821 此外,在一個例子中,在邊緣淸潔處理之後,一額爲DI 水淸洗可以藉由利用DI水噴嘴922和926來執行以淸潔 晶圓901的上面和下面。 當邊緣淸潔處理被完成時,霞頭馬達組件950可以停 止旋轉夾頭936和晶圓901,並且邊緣淸潔組件930可以 從邊緣淸潔位置滑出到停止位置。 圖十一 D至圖十一 E描述另一示範噴嘴頭1030的不 同視角。圖十一 D至圖十一 E的例子與圖十一 A至圖十 一 C的例子相似,除了氮氣噴嘴1 034有一個從噴嘴延伸 的水平跨度1 034h。該水平跨度l〇34h可以製造一氮氣幕 狀物3002來更有效的防止從邊緣噴嘴1 036來的化學藥劑 向晶圓901中心擴散。水平跨度l〇34h與晶圓901表面之 間的距離較好是在大約0.1mm到3.0mm的範圍,最好大 約是1.5 m m。 圖十一 F至圖十一 G描述另一示範噴嘴頭1030的不 同視角。圖--F至圖"Ί--* G的例子與圖H D至圖十 一 Ε的例子相似,除了水平跨度l〇34h由該噴嘴下面部分 的兩邊延伸該氮氣噴嘴1034。 圖十一 Η描述另一示範噴嘴頭1 〇 3 0。圖十一 Η的例 子與圖——Α至C的例子相似,除了它具有兩個液體噴 嘴1 0 3 6,一個爲化學藥劑另一個爲D1水。分開的噴嘴可 以在,舉例來說,DI水淸洗期間提供改良的性能° 圖十二描述一示範夾頭馬達組件9 5 0,其可以包括在 晶圓淸潔裝置。在此例中,夾頭馬達組件9 5 0包或夾頭 (18) 200402821 936,上馬達盤1202,光學感測器1204,軸套管1206,馬 達1 208,旗狀物1210,隔片1212,離心物軸1214,離心 物1 2 1 6和插座1 2 1 8。 再一次參考圖九A,九B和十A,爲了放一晶圓901 在夾頭936,一末端受動器903從一處理室或先前校正器 拿晶圓901,然後爲了淸潔,經由淸潔室視窗904將晶圓 移到淸潔室模組。圖十三描述一示範淸潔室模組904,其 包括內盤1 302,外盤1 304,托架1 306,流量控制器1308 ,圓筒1 3 1 0,圓筒蓋906,和限制感側器1 3 1 2。該末端受 動器903裝入晶圓901在夾頭936。該圓筒1310可以舉起 外盤1 304盒關閉淸潔室視窗904來開始一晶圓淸潔處理The wafer 901 can be put into the clean room by the end actuator 903 or the like. When it is decided that the wafer 901 is placed on the chuck 936 at an appropriate position for cleaning, the chuck motor assembly 950 can rotate the chuck 936 and the wafer 901 about an axis perpendicular to the main surface of the wafer. When the chuck 936 and the wafer 901 are rotated at a rotation speed of about 30 μm, the DI water spray heads 922 and 926 can supply DI water to the upper and lower surfaces of the wafer 901. This DI water can flow through the wafer 901 and then to the wall of the clean room, and is discharged to the exhaust and drain pipe 942 via the drain pan 9 3 8. In order to drain the DI water on the wafer 901 to dryness, the chuck motor assembly 950 can increase the rotation speed to 2000 rpm ± 1000 rpm. The two nitrogen shower heads 924 and 928 can then supply a stream of nitrogen (or other suitable gas) to the upper and lower surfaces of the wafer 901 to further remove DI water from the upper and lower surfaces of the wafer 901. After the wafer 901 is cleaned and dried and the chuck motor assembly 950 is stopped, the edge cleaning assembly 930 slides into position for edge cleaning. Figures 10A to 10B depict an exemplary wafer edge cleaning assembly 930, which includes a DI water pipe 1 006, a rod 1010, a joint rod 1 008, a bracket 1012, a nail 10, 4 and an air tube cylinder 1 〇1. 6, adjustable nail 1 0 1 8, flow regulator 1 020, compressed air tube 1022, rod clamp 1 024, acid tube 1 026, nitrogen tube 1 028, nozzle head 1 030, rod brush 1 032, nitrogen nozzle 1 034, and liquid nozzle 1 03 6. The length of the edge cleaning component 930 can be adjusted for the use of -22- (16) (16) 200402821 2 0mm, 300mm wafers, or to increase or decrease the pole 1 008 for other sizes. The interval between the upper surface of the wafer 901 and the nitrogen nozzle 1 034 may be in the range of 0.1 to 10 mm, and the liquid nozzle 1 036 may be placed above the edge region 1 004. Figures 11 through C illustrate a plan view, a side view, and a front view of an exemplary nozzle head 1 030 included with the edge cleaning module, respectively. As shown in FIGS. 11A to 11C, the nitrogen nozzle head 1 034 manufactures a nitrogen curtain 1102 near the edge of the wafer 901. In the exemplary edge cleaning process, the wafer 901 can be rotated at a rotation speed of about 50 to 500 rpm, preferably at 200 rpm. Liquid nozzle 1 036 supplies a stream of chemicals to form a thin film about 10 mm wide on the outer major surface or edge region 1004 of wafer 901. The chemical agent removes the metal layer or metal residue, but the chemical agent may accidentally flow to the center of the wafer 90 1, and this phenomenon may have a harmful effect on the metal layer. Non-stop chemicals can be used to etch metal residues in the edge area 1004. For example, 10% H2SO4 and 20% H2O2 solution can be used to etch copper metal from the edge region 1004. Also, in order to increase the etching rate, the chemical solution may be heated in a range of 25 ° C to 80 ° C. In order to reduce the possibility of chemical agents diffusing inwardly from the edge, the nitrogen nozzle 1034 supplies or directs a gas flow, such as nitrogen, at the inner edge of the edge zone 2004 to generate a nitrogen curtain Π 'to prevent or At least the possibility that the chemical agent diffuses into the center of the wafer 90 1 is reduced. After the edge area 1004 is cleaned, the liquid nozzle 1036 may supply a spray liquid 1104 of DI water to dilute and / or wash away the chemical agent on the wafer 9 0 1 edge area 104. (17) (17) 200402821 In addition, in one example, after the edge cleaning process, a DI water rinse can be performed by using the DI water nozzles 922 and 926 to clean the top and bottom of the wafer 901. . When the edge cleaning process is completed, the Xia head motor assembly 950 can stop rotating the chuck 936 and the wafer 901, and the edge cleaning assembly 930 can slide out from the edge cleaning position to the stop position. Figures 11D through 11E depict different perspectives of another exemplary nozzle head 1030. The examples in Figure 11D to Figure 11E are similar to the examples in Figure 11A to Figure 11C, except that the nitrogen nozzle 1 034 has a horizontal span 1 034h extending from the nozzle. This horizontal span 1034h can make a nitrogen curtain 3002 to more effectively prevent the chemicals from the edge nozzle 1 036 from spreading to the center of the wafer 901. The distance between the horizontal span 1034h and the surface of the wafer 901 is preferably in the range of about 0.1 mm to 3.0 mm, and most preferably about 1.5 mm. Figures 11F through 11G depict different perspectives of another exemplary nozzle head 1030. FIG. The examples of Figures -F to " Ί-* G are similar to the examples of Figures HD to Figure 11E, except that the horizontal span 1034h extends the nitrogen nozzle 1034 from both sides of the lower part of the nozzle. Figure XI illustrates another exemplary nozzle head 1030. The example in Figure XI is similar to the example in Figures A through C, except that it has two liquid nozzles 1 0 3 6, one is a chemical agent and the other is D1 water. Separate nozzles may provide improved performance during, for example, DI water washing. Figure 12 depicts an exemplary chuck motor assembly 9 50, which may be included in a wafer cleaning apparatus. In this example, the chuck motor assembly 9 50 pack or chuck (18) 200402821 936, upper motor plate 1202, optical sensor 1204, shaft sleeve 1206, motor 1 208, flag 1210, spacer 1212 , Centrifuge shaft 1214, centrifuge 1 2 1 6 and socket 1 2 1 8. Referring again to FIGS. 9A, 9B, and 10A, in order to place a wafer 901 on the chuck 936, an end effector 903 takes the wafer 901 from a processing chamber or a previous corrector, and then for cleaning, The chamber window 904 moves the wafer to the clean room module. Figure 13 depicts an exemplary clean room module 904, which includes an inner plate 1 302, an outer plate 1 304, a bracket 1 306, a flow controller 1308, a cylinder 1 3 10, a cylinder cover 906, and a restrictive sensor side.器 1 3 1 2. The end effector 903 is mounted on the wafer 901 in the chuck 936. The cylinder 1310 can lift the outer tray 1 304 box and close the cleaning room window 904 to start a wafer cleaning process.

如圖十二所示,示範夾頭936包括基底1220和三個 定位器1222。夾頭936可以爲200mm晶圓,300mm晶圓 或任何其他晶圓尺寸修正。當末端受動器903裝入晶圓 901在夾頭936時,晶圓901藉著三個定位器1 222被定位 在夾頭936上。再一次參考圖九A至九C,光學感測器 932可以偵測晶圓901在夾頭936上的位置。如圖十五所 示,爲了檢查晶圓的定位誤差,光學感測器932射一條光 線到晶圓901的上表面。如果末端受動器903定位晶圓 901在定位器1 222的上表面,該光線將不會全反射回反射 感測器932。當夾頭936旋轉時,該反射會跟著改變。更 近一步來說,因爲晶圓90 1和反射感測器932之間的距離 改變,反射中的差距或變動可以被用來確認晶圓90 1是否 -25- (19) (19)200402821 準確的放在夾頭936和三個定位器1 222上。在一個例子 中,當晶圓901藉由三個定位器1 222被準確的放在夾頭 936且夾頭旋轉時,該反射大約70 %至75 %被讀取。然而 ,當晶圓901.沒有被準確定位時,該反射大約30%至60% 被讀取。一個放置錯誤的晶圓在夾頭936高速旋轉時會從 夾頭936脫離,此狀況會導致晶圓901在淸潔室模組內破 裂。 一示範光學感測器932被顯示再圖十四,並且可以包 括一接合管1 402,接合◦環1 404,反射感測器1 406,桿 套1 408,人造橡皮〇環1410,以及桿套輪緣1412。必須 認知的是其它合適的光學桿測器可以被用來決定晶圓相對 夾頭936的適當位置。在其它例子中,光學感測器932可 以被一非光學感測器取代來測量一晶圓表面,例如一接近 感測器,渦流感測器,聲納感測器等諸如此類。 爲了防止在不同淸潔處理中,例如風乾期等,晶圓 901藉著相對高離心力從夾頭93 6轉出,夾頭定位器1222 可以包括一離心物1 2 1 6。該離心物1 2 1 6可以包括一較低 元件(即是一配重),該元件比上面部分重並且接近離心 物軸1214。當夾頭936以lOOOrpm或更高轉速旋轉時,該 離心力會導致離心物1 2 1 6裡的配重向外旋轉。結果,離 心物1 2 1 6的上面部分相內移動抓治並穩固晶圓9 0 1到夾 頭936。定位器1 222和離心物1216的重量,長度,和外 貌可以變化來改變定位器1 222移動去穩固晶圓的速度。 當夾頭馬達組件950減速或停止時,離心物1216會因爲 (20) 200402821 降低或無離心力的原因回到它的上右邊的位置。爲了穩固 該晶圓,該夾頭旋轉速度被設定在大約200〜3000rpm,在 2000rpm 較好。As shown in FIG. 12, the exemplary chuck 936 includes a base 1220 and three locators 1222. The chuck 936 can be a 200mm wafer, a 300mm wafer, or any other wafer size modification. When the end effector 903 is loaded into the wafer 901 on the chuck 936, the wafer 901 is positioned on the chuck 936 by the three positioners 1 222. Referring again to FIGS. 9A to 9C, the optical sensor 932 can detect the position of the wafer 901 on the chuck 936. As shown in Fig. 15, in order to check the positioning error of the wafer, the optical sensor 932 shoots a light onto the upper surface of the wafer 901. If the end effector 903 positions the wafer 901 on the upper surface of the positioner 1 222, the light will not be totally reflected back to the reflection sensor 932. As the chuck 936 rotates, this reflection changes. To take this one step further, because the distance between wafer 90 1 and the reflection sensor 932 changes, the gap or variation in reflection can be used to confirm whether wafer 90 1 is -25- (19) (19) 200402821 accurate On the chuck 936 and three positioners 1 222. In one example, when the wafer 901 is accurately placed on the chuck 936 by the three positioners 1 222 and the chuck is rotated, the reflection is read by about 70% to 75%. However, when the wafer 901. is not accurately positioned, the reflection is read about 30% to 60%. A misplaced wafer will detach from the chuck 936 when the chuck 936 rotates at a high speed, and this condition will cause the wafer 901 to crack in the clean room module. An exemplary optical sensor 932 is shown in FIG. 14 and may include a joint tube 1 402, a joint ring 1 404, a reflection sensor 1 406, a rod cover 1 408, an artificial rubber ring 1410, and a rod cover. Rim 1412. It must be recognized that other suitable optical sensors can be used to determine the appropriate position of the wafer relative to the chuck 936. In other examples, the optical sensor 932 may be replaced by a non-optical sensor to measure a wafer surface, such as a proximity sensor, eddy current sensor, sonar sensor, and the like. In order to prevent the wafer 901 from being rotated out of the chuck 93 6 by a relatively high centrifugal force in different cleaning processes, such as an air-drying period, the chuck positioner 1222 may include a centrifuge 1 2 1 6. The centrifuge 1 2 1 6 may include a lower element (i.e., a counterweight) that is heavier than the upper portion and is closer to the centrifuge shaft 1214. When the chuck 936 is rotated at 100 rpm or higher, the centrifugal force causes the weight in the centrifuge 1 2 1 6 to rotate outward. As a result, the upper part of the centrifugal object 1 2 1 6 moves in-phase to grasp and stabilize the wafer 901 to the chuck 936. The weight, length, and appearance of the positioner 1 222 and the centrifuge 1216 can be changed to change the speed at which the positioner 1 222 moves to stabilize the wafer. When the chuck motor assembly 950 decelerates or stops, the centrifugal object 1216 will return to its upper right position due to the decrease in (20) 200402821 or no centrifugal force. In order to stabilize the wafer, the chuck rotation speed is set at about 200 to 3000 rpm, preferably at 2000 rpm.

圖十六A至十六C描述一示範背面晶圓淸潔處理和 關於定位器1 222和晶圓背面化學藥劑的晶圓。在一個示 範晶圓倍面淸潔處理中,馬達1 208震動夾頭936來面對 該晶圓背面化學藥劑噴嘴,如此該化學藥劑可以被送到晶 圓901的背面而不會濺到三個晶圓定位器1 222。接觸到晶 圓定位器1 222的化學藥劑可能會濺到並侵蝕晶圓的上表 面,此現象可導致形成在晶圓90 1上之裝置和結構的缺陷 。化學藥劑948可以被放置在兩個定位器1222之間並且 在夾角β和-β之間震動。背面化學藥劑948可以藉由導引 背面化學藥劑948在夾角和γ之間移動來離開晶圓中心 以覆蓋晶圓901於夾角β和-β之外。Figures 16A to 16C depict a wafer with an exemplary backside wafer cleaning process and the positioner 1 222 and wafer backside chemicals. In a demonstration wafer cleaning process, the motor 1 208 vibrates the chuck 936 to face the chemical nozzle on the back of the wafer, so that the chemical can be sent to the back of the wafer 901 without splashing three Wafer positioner 1 222. Chemicals in contact with the wafer positioner 1 222 may splash and erode the upper surface of the wafer. This phenomenon may cause defects in the devices and structures formed on the wafer 90 1. The chemical agent 948 may be placed between the two positioners 1222 and vibrated between the angles β and -β. The back surface chemical agent 948 can move away from the center of the wafer by guiding the back surface chemical agent 948 to move between the included angle and γ to cover the wafer 901 outside the included angle β and -β.

由化學藥劑948送出的化學藥劑會到達晶圓901的背 面,並且淸潔時間會在5〜1 00秒之間,最好在十秒。該淸 潔處理會爲每晶圓90 1背面的三分之一再被重複。 圖十七Α至十七C描述另一示範晶圓背面淸潔處理 。該處理與參照圖十六A至十六C所描述的相似,除了 夾頭936 —直被旋轉並且背面化學藥劑948被有規律的輸 送,或定時在定位器1 222之間爲”開”,偵測再定位器 1 222時爲”關”。與圖十六A至十六C相似,背面化學藥 劑94 8噴嘴在處理期間可以震動± γ。如圖十七B和十七 C所示,當夾頭93 6逆時針旋轉時,背面化學藥劑948導 -27- (21) (21)200402821 入液體至晶圓直到角度^那裡被停止。液體被再度在角 度a2被導引至晶圓背面。 在另一個例子中,爲了淸潔與定位器1 222接觸的晶 圓901背面部分,馬達1 208將爲產生一具有足夠程度的 轉動加速的旋轉動量,如此晶圓90 1將會從原始位置離開 。因此,由晶圓半面化學藥劑948噴嘴送出的化學藥劑可 以在旋轉動作之前到達已經與定位器1 222接觸的晶圓901 背面部分。在淸潔晶圓901背面整個表面之前,DI水噴 頭9 22將會供應DI水流來淸洗在晶圓901背面上的化學 藥劑。 晶圓901可以經歷最後淸潔週期。當夾頭936和晶圓 9 0 1以大約轉速3 0 r p m旋轉時,該DI水噴嘴9 2 2和9 2 6可 以同時供應DI水到晶圓901的上面和下面。爲了淸除DI 水並弄乾晶圓901,該夾頭轉速可以增加至2000rpm,土 lOOOrpm。該氮氣噴嘴924和928然後可以供應氮氣流到 晶圓901的上面和下面來淸除DI水膜。 按照以上示範裝置和方法的描述,示範淸潔方法或順 序可以如下執行。 開始淸潔: a ·將夾頭歸回原位。 b.開啓外門1 3 0 2。 c ·放置晶圓9 0 1在夾頭9 3 6。 d.關閉外門1 3 0 2。 (22) (22)200402821 前面淸潔: e. 以轉速10至1 〇〇rpm旋轉夾頭93 6, 50rpm較好 〇 f. 從DI水噴頭(上)926送出DI水道晶圓901前面 〇 g. 停止從DI水噴嘴(上)來的DI水,然後增加夾 頭旋轉速度到lOOOrpm〜2000rpm,2000rpm較好。 h. 從氮氣噴頭(上)928送出氣氣來弄乾晶Η 901的 上面。 i. 停止氮氣流和停止夾頭旋轉。 邊緣淸潔: j. 藉由給空氣管圓筒1 0 1 6提供動力,將邊緣淸潔組 件從它的休息位置移到邊緣淸潔位置。 k. 以轉速1 0 0至5 0 01· p m旋轉晶圓9 0 1, 3 5 0 r p m較 好,從氮氣噴嘴1034經過氮氣管1028送出氮氣。 l. 從液體噴嘴1 036經過酸管1 026送出邊緣淸潔化 學藥劑。 m. 在邊緣區1 004上的金屬被鈾刻掉之後,停止送出 邊緣淸潔化學藥劑。 η.從液體噴嘴1 036經過DI水管2006送出DI水。 〇.在邊緣區1 004上的化學藥劑被淸洗掉之後,停止 DI水流。 Ρ.從氮氣噴嘴1 034經過氮氣管1 028送出氮氣。 (23) (23)200402821 q.停止夾頭旋轉並且移回邊緣淸潔裝置930到休息 位置。 後面淸潔: r. 移動夾頭93 6到後面淸潔位置,換言之,晶圓背 面化學藥劑94 8噴嘴與兩個相近定位器1 222之間相等距 離的位置。馬達1 208開始在晶圓背面化學藥劑948噴嘴 附近擺動夾頭936。該擺動角度必須小於45 ° 土 5 °。然後 該晶圓背面化學藥劑94 8噴嘴傳送化學藥劑到晶圓90 1的 背面。 s. 爲晶圓9 01的第二和第三區域重複步驟r。另一個 方法,晶圓901可以向一個方向連續旋轉,並且調節背面 化學藥劑948來避免定位器1222。 移動旋轉淸潔: t. 在一快速旋轉期間利用高加速度來移動晶圓901。 u. 重複步驟s。 v. 爲晶圓901的第二個三分之一經由t來重複步驟s 〇 W. 爲晶圓901的最後三分之一經由t來重複步驟S。 X. 隨著晶圓以大約50rpm的轉速旋轉,經由DI水噴 嘴(後面)922輸送DI水到晶圓901的背面和經由DI水 噴嘴(上面)926輸送DI水到晶圓901的前面。 y .停止輸送DI水流。以大約1 〇 〇 〇〜3 0 0 0 r p m的轉速 (24) (24)200402821 旋轉夾頭93 6, 2000rpm較好,然後輸送氮氣到晶圓901 的前面與後面。 z.停止輸送氮氣流並且停止夾頭93 6。藉著降低與圓 筒1310在一起的外盤1 304,開啓淸潔室視窗904。末端 受動器903然後將會拿起晶圓901並且移動該晶圓到儲存 縱槽(沒顯示)。 以上順序描述一晶圓淸潔示範方法,並且不意指被限 制。有許多不同替代的方法來淸潔晶圓90 1與本發明其它 不同的觀點一致。舉例來說,一個第二示範方法包括以下 如上所述步驟a到d來開始淸潔處理;接著是爲邊緣淸潔 的步驟j到Q ;與完成步驟e到i來以DI水和氮氣淸潔和 弄乾前面。 另一個不範方法包括:以下如上所述步驟a到d來開 始淸潔處理;接著是爲邊緣淸潔的步驟j到q ;繼續爲步 驟r到s來藉著化學藥劑淸潔後面;步驟e到i來利用DI 水和氮氣淸潔並弄乾前面;與步驟t到z來利用DI水和 氮氣淸潔並弄乾後面。此外,在一個後面淸潔處理期間, DI水可以被供應到晶圓上面來防止在背面蝕刻期間有任 何化學藥劑被用在上主表面。於是,顯而易見的是,對現 今技術而言,藉著示範裝置和方式來淸潔半導體晶圓的不 同處理是可預期的。 雖然有關某一實施例,範例,和運用方面的淸潔晶圓 之裝置和方法已經被描述,顯而易見的是,對現今技術而 言,不同的改良與修正在不背離本發明的情況下是可行的 -31 - (25) (25)200402821 IV.處理室 在半導體組件的另一個觀點中,一處理室被包括來電 拋光和/或電鍍半導體晶圓。藉由電拋光裝置和電鍍裝置 該示範處理室是可交換的。 在一個示範處理中,當一處理液被引導到一晶圓之一 主表面的相對小部分時,該晶圓被旋轉。引導液體流的一 噴嘴或類似物被沿著與該晶圓主表面平行的直線方向調動 。爲了增加電鍍或拋光該晶圓上一金屬層的一致性,該晶 圓的旋轉可以改變來增加與入射液體流有關的晶圓表面的 定線性速度。此外,決定一薄膜外形的不同示範方法和電 拋光或電鍍處理被描述。 圖十八根據一實施例包括一示範處理室組件之分解圖 視。示範處理室組件可以包括活動管套1 802,磁性連接 器1 804,軸1 806,托架軸1 808,擋泥板1810,管1812, 室托盤1 8 1 4,底室1 8 1 6,光學感測器用輸送道1 8 1 8,插 頭1 820,處理室1 822,歧管1 824,噴嘴盤1 826,末端點 偵測器1 828,噴嘴塊1 830,側盤1832,室視窗1 8 34,半 月室1836,門墊塊1838,以及視窗圓筒1840。 該示範室可以一樣好的被用來電拋光和/或電鍍,但 是通常被描述與電抛光有關。當利用本發明來電鑛’噴嘴 塊1 830,噴嘴盤1 826,歧管1 824和活動管套1 802也可 以被用在一電拋光處理。兩者擇一的,它們可以被同心原 -32- (26) 200402821 電鍍裝置取代。一示範同心原電鍍裝置在U.S. Patent No. 63 95 1 5 2,標題爲 METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES ,申言靑於 July 2 , 1 999 ,和The chemical sent from the chemical 948 will reach the back of the wafer 901, and the cleaning time will be between 5 and 100 seconds, preferably ten seconds. This cleaning process is repeated for one third of the back of 90 1 per wafer. Figures 17A through 17C depict another exemplary wafer backside cleaning process. This process is similar to that described with reference to FIGS. 16A to 16C, except that the chuck 936 is rotated straight and the back side chemical agent 948 is regularly transported, or is “on” between the positioners 1 222, Off when relocator 1 222 is detected. Similar to Figures 16A to 16C, the backside chemical agent 94 8 nozzle can vibrate ± γ during processing. As shown in Figs. 17B and 17C, when the chuck 93 6 is rotated counterclockwise, the back chemical 948 guides -27- (21) (21) 200402821 into the wafer until the angle ^ is stopped. The liquid is again guided to the back of the wafer at an angle a2. In another example, in order to clean the back portion of the wafer 901 that is in contact with the positioner 1 222, the motor 1 208 will generate a rotational momentum with a sufficient degree of rotational acceleration, so that the wafer 90 1 will leave from the original position . Therefore, the chemicals sent from the wafer half-face chemical 948 nozzle can reach the back surface portion of the wafer 901 that has come into contact with the positioner 1 222 before the rotation operation. Before cleaning the entire surface of the back surface of the wafer 901, the DI water nozzle 9 22 will supply a stream of DI water to wash the chemicals on the back surface of the wafer 901. The wafer 901 may undergo a final cleaning cycle. When the chuck 936 and the wafer 901 are rotated at about 30 rpm, the DI water nozzles 9 2 2 and 9 2 6 can simultaneously supply DI water to the upper and lower sides of the wafer 901. In order to remove the DI water and dry the wafer 901, the speed of the chuck can be increased to 2000 rpm and 1000 rpm. The nitrogen nozzles 924 and 928 can then supply a stream of nitrogen to the top and bottom of the wafer 901 to remove the DI water film. Following the description of the exemplary apparatus and methods above, the exemplary cleaning method or sequence may be performed as follows. Start cleaning: a. Return the chuck to its original position. b. Open the outer door 1 3 0 2. c. Place wafer 9 0 1 on chuck 9 3 6. d. Close the outer door 1 3 0 2. (22) (22) 200402821 The front face is clean: e. Rotate the chuck 93 6 at a speed of 10 to 1000 rpm, preferably 50 rpm. F. Send the DI water channel wafer 901 in front of the DI water jet head (top) 926. Stop the DI water coming from the DI water nozzle (upper), and then increase the chuck rotation speed to 1000rpm ~ 2000rpm, 2000rpm is better. h. Send gas from the nitrogen nozzle (top) 928 to dry the top of the crystal Η 901. i. Stop nitrogen flow and stop chuck rotation. Edge cleaning: j. Move the edge cleaning assembly from its rest position to the edge cleaning position by powering the air tube cylinder 1 0 1 6. k. It is better to rotate the wafer 9 0 1, 3 5 0 r p m at a rotation speed of 100 to 5 0 01 · p m, and send nitrogen gas from a nitrogen nozzle 1034 through a nitrogen pipe 1028. l. From the liquid nozzle 1 036 through the acid tube 1 026, send out the edge cleaning chemicals. m. After the metal on edge zone 1 004 is engraved by uranium, stop sending out the edge cleaning chemicals. η. The DI water is sent out from the liquid nozzle 1 036 through the DI water pipe 2006. 〇 After the chemicals on the edge zone 1 004 were washed away, the DI water flow was stopped. P. Nitrogen is sent from a nitrogen nozzle 1 034 through a nitrogen pipe 1 028. (23) (23) 200402821 q. Stop the chuck from rotating and move the edge cleaning device 930 back to the rest position. Rear cleaning: r. Move the chuck 93 6 to the rear cleaning position. In other words, the chemical reagent 94 8 on the back of the wafer is at an equal distance from the two adjacent positioners 1 222. Motor 1 208 begins to swing chuck 936 near the chemical 948 nozzle on the back of the wafer. The swing angle must be less than 45 ° and 5 °. The wafer backside chemical 94 8 nozzle transfers the chemicals to the backside of the wafer 90 1. s. Repeat step r for the second and third regions of wafer 901. Alternatively, the wafer 901 can be continuously rotated in one direction, and the backside chemical 948 is adjusted to avoid the positioner 1222. Moving and rotating the wafer: t. Use a high acceleration to move the wafer 901 during a fast rotation. u. Repeat step s. v. Repeat step s via t for the second third of wafer 901. W. Repeat step S via t for the last third of wafer 901. X. As the wafer rotates at about 50 rpm, the DI water is delivered to the back of the wafer 901 via the DI water nozzle (rear) 922 and the DI water is delivered to the front of the wafer 901 via the DI water nozzle (upper) 926. y. Stop delivering DI water. Rotate the chuck 93 6, at 2000 rpm at a rotation speed of about 10000 to 30000 r p m (2000), preferably 2000 rpm, and then send nitrogen to the front and back of wafer 901. z. Stop the nitrogen flow and stop the chuck 93 6. By lowering the outer plate 1 304 with the cylinder 1310, the clean room window 904 is opened. The end effector 903 will then pick up the wafer 901 and move the wafer to a storage slot (not shown). The above sequence describes a wafer cleaning exemplary method and is not meant to be limiting. There are many different alternatives for cleaning the wafer 90 1 in accordance with other different perspectives of the present invention. For example, a second exemplary method includes the following steps a to d to start the cleaning process as follows; steps j to Q to clean the edges; and steps e to i to clean with DI water and nitrogen. And dry up the front. Another non-standard method includes: the following steps a to d to start the cleaning process; followed by steps j to q to clean the edges; continue to steps r to s to clean the back by chemicals; step e Go to i to clean and dry the front with DI water and nitrogen; and step t to z to clean and dry the back with DI water and nitrogen. In addition, during a subsequent cleaning process, DI water can be supplied to the wafer to prevent any chemicals from being used on the upper main surface during backside etching. It is therefore obvious that for today's technology, different processes for cleaning semiconductor wafers by demonstration devices and methods are expected. Although the device and method for cleaning wafers in certain embodiments, examples, and applications have been described, it is obvious that for the present technology, different improvements and modifications are feasible without departing from the present invention. -31-(25) (25) 200402821 IV. Processing Chamber In another aspect of semiconductor components, a processing chamber is comprised of electropolished and / or plated semiconductor wafers. The demonstration processing chamber is interchangeable with an electropolishing device and a plating device. In an exemplary process, a wafer is rotated when a processing solution is directed to a relatively small portion of a major surface of a wafer. A nozzle or the like that directs the liquid flow is moved in a straight line direction parallel to the main surface of the wafer. To increase the uniformity of plating or polishing a metal layer on the wafer, the rotation of the wafer can be changed to increase the constant linear velocity of the wafer surface in relation to the incident liquid flow. In addition, different exemplary methods and electropolishing or electroplating processes that determine the shape of a thin film are described. FIG. 18 includes an exploded view of an exemplary processing chamber assembly according to an embodiment. Demonstration processing chamber components may include a movable tube cover 1 802, a magnetic connector 1 804, a shaft 1 806, a bracket shaft 1 808, a fender 1810, a tube 1812, a chamber tray 1 8 1 4, a bottom chamber 1 8 1 6, Optical sensor conveyor 1 8 1 8, plug 1 820, processing chamber 1 822, manifold 1 824, nozzle plate 1 826, end point detector 1 828, nozzle block 1 830, side plate 1832, chamber window 1 8 34, Half Moon Room 1836, Door Cushion 1838, and Window Cylinder 1840. The demonstration chamber can be used equally well for electropolishing and / or electroplating, but is generally described in relation to electropolishing. When using the present invention, the nozzle block 1 830, the nozzle plate 1 826, the manifold 1 824, and the movable tube sleeve 1 802 can also be used in an electropolishing process. Either way, they can be replaced by the Concentric Primer -32- (26) 200402821 plating device. A demonstration concentric original electroplating device is in U.S. Patent No. 63 95 1 5 2 and titled METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES. The statement was dated July 2, 1 999, and

U.S. Patent No. 6440295 ,標題爲 METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES,申 請於February 4, 2000中被描述,藉由此處的參考文獻 兩者全部被具體化。更近一步,示範電拋光和電鍍處理被 描述在 PCT專利申請文號 PCT/US02/36567,標題爲 ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS ,申言靑於 November 13, 2002, U.S.專利文號 6391166,標題爲U.S. Patent No. 6440295, entitled METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES, is described in February 4, 2000, and both are embodied by reference. Taking this one step further, exemplary electropolishing and electroplating processes are described in PCT patent application number PCT / US02 / 36567, entitled ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS, stated in November 13, 2002, US Patent No. 6391166 Title is

PLATING APPARATUS AND METHOD,申請於 January 15 ,1 999, 以及PCT專利申請文號PCT/US99/1 5506,標 題爲 METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES,申言靑於 August 7, 1 999, 藉由在它們全部中的參考文獻在此被具體化。 更近一步,該示範末端點偵測器和方法被描述在U.S. 專利文號 6447668 ’ 標題爲 METHOD AND APPARATUS FOR END-POINT DETECTION, 申言靑方令 September 10, 2 0 02,並且藉由在它全部中的參考文獻來被具體化。 如圖十九所示,可以被包含在處理室組件的能源驅動 -33- (27) 200402821PLATING APPARATUS AND METHOD, filed in January 15, 1 999, and PCT Patent Application No. PCT / US99 / 1 5506, entitled METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES, a statement dated August 7, 1 999, borrowed References in all of them are embodied here. To take this one step further, the exemplary end point detector and method are described in US Patent No. 6447668 'entitled METHOD AND APPARATUS FOR END-POINT DETECTION, claiming the order of September 10, 2 02, and by The references in all are embodied. As shown in Figure 19, it can be driven by energy contained in the processing chamber assembly -33- (27) 200402821

系統包括x光旗狀物1 902,x軸驅動組件1 904,連接器 1 9 06,馬達1 90 8,z軸托架1910,Θ驅動皮帶和皮帶輪 1912, 0y軸反射感測器1 9 1 4,X軸感測器1 9 1 6, Θ托 架1 9 1 8,z軸萬相球接頭1 9 2 0,z軸檯組件1 9 2 2,z方向 移動托架1 924,Θ馬達1 926,Θ驅動皮帶輪1 928,夾頭組 件1 930,回蓋遮罩組件1 93 2,X軸線性軸承1 934,y軸調 用整指旋螺釘1 936,z軸盤1 9 3 8,頂遮罩1 940,z軸線性 軸承1 942,軸1944,X軸磁鐵1 946,磁性分離盤1 948,y 軸角架1 95 0,磁鐵1 95 2,以及磁鐵托架1 954。System includes x-ray flag 1 902, x-axis drive assembly 1 904, connector 1 9 06, motor 1 90 8, z-axis bracket 1910, Θ drive belt and pulley 1912, 0y-axis reflection sensor 1 9 1 4, X-axis sensor 1 9 1 6, Θ bracket 1 9 1 8, z-axis universal ball joint 1 9 2 0, z-axis stage assembly 1 9 2 2, z-direction moving bracket 1 924, Θ motor 1 926, Θ drive pulley 1 928, chuck assembly 1 930, cover cover assembly 1 93 2, X-axis linear bearing 1 934, y-axis call full thumbscrew 1 936, z-axis disk 1 9 3 8, top Cover 1 940, z-axis linear bearing 1 942, shaft 1944, X-axis magnet 1 946, magnetic separation disc 1 948, y-axis angle bracket 1 95 0, magnet 1 95 2 and magnet bracket 1 954.

一示範夾頭組件被描述在例如 U.S.專利文號 6248222B1 ,標題爲 METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES ,申冒靑於 September 7, 1 9 99, U. S ·專利序號 09/800990,標題爲An exemplary chuck assembly is described in, for example, US Patent No. 6482222B1, entitled METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLALATING OF THE WORKPIECES, applied in September 7, 1 99, U.S. Patent number 09/800990, titled

METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES, 申請於 March 7, 200 1,以及專利序號METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLALATING OF THE WORKPIECES, applied for March 7, 200 1, and patent serial number

09/8 5 6 85 5 ,標題爲 METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES, 申言靑於 May 21, 2001。藉由此處的參考文獻三者全部被具體化。 •34- (28) (28)200402821 如圖十八所示,該處理室1 8 2 2可以包括一活動管套 1 802,該活動管與夾頭組件1 930和套擋泥板1810 —起移 動來控制處理液和電解液在室區域範圍之內。爲了光學感 測器和末端點偵測器1 828,或著例如在底室1 8 1 6或室托 盤1 8 1 4中偵測洩漏之感測器的其他元件,一光學感測器 纜線可以經由輸送道1 8 1 8被安裝。額外的插頭1 820可以 被用做另外的輸送道。 圖十八和圖十九的示範裝置包括磁鐵1 952來連接到 X軸磁鐵1 946。該夾頭組件1 930藉著經由X軸線性軸承 1 9 34在軸1 944上滑行,可以沿著X方向移動。當示範裝 置不在使用時,例如改變處理裝置或維修期間,該處理驅 動系統可以從處理室組件脫離。馬達1 908將反時針方向 旋轉一個在X軸驅動組件1 904中的內螺絲來沿著X方向 往前移動。一樣或新的處理驅動組件以相同的方式與處理 室組件對接。一個例子包括一安全限度,如果在處理驅動 系統和室之間有一個物體,或著某一東西防止X軸驅動組 件1 904向前或向後移動,磁鐵1 95 2或1 946將從磁性分 離盤1 948脫離。X軸驅動組件1 904和馬達1 908將不能近 一步移動夾頭組件和上遮蓋;在那一點,X軸感測器丨9 1 6 將認出停止處理驅動系統帶來的X軸脫離,並且馬達 1 908將會停止動力。 在不範裝置裝入或定期保養期間,y軸調用整指旋 螺釘1 9 3 6可以在活動管套1 8 0 2上調整夾頭組件1 9 3 0的 位置以及沿著y方向調整噴嘴盤1 8 2 6。 (29) (29)200402821 參考圖十八和十九,當示範處理室被用在一處理應用 ,藉由連接處理驅動系統上的磁鐵1 952到處理室組件上 的磁性連接器1 804,該處理驅動系統將會被對接在處理 室組件。視窗圓筒1 840從半月室1 83 6提高門墊塊1838 來製造一個在室視窗1 8 34的開口。一機器人(見圖一) 可以從先前校正器傳送晶圓1801通過室視窗1 8 34。晶圓 1801被裝入夾頭組件1 930來做電拋光和/或電鍍處理。 爲了從裝載或初始位置移動夾頭組件1 930到電拋光 或電鍍位置,z軸檯組件1 922中的馬達轉動它的內部軸組 件來降低從z軸線性軸承1 9 4 2來的z軸盤1 9 3 8,直到夾 頭組件1 930和噴嘴塊1 830上面之間的間距在大約0.5到 10mm的範圍內,5mm較好。兩者擇一的,如果示範處理 室備用來電鍍,z軸檯組件1 922中的馬達可以降低從z軸 線性軸承1 942來的z軸盤1 93 8,直到夾頭組件1 930上的 晶圓1801和同心圓裝置上面之間的間距在大約〇.5到 10mm的範圍內,5mm較好。在一個第一金屬曾被鍍上晶 圓1801之後’ z軸盤1 93 8可以依照晶圓1801附加電鍍 的程序方法遞增的向上移動。 爲了拋光晶圓1 8 0 1,該示範處理室藉由爲晶圓上不 同區域加上不同流體密度的電流,均勻且遞增的淸除從鍍 銅晶圓1 8 0 1來的銅。電流和處理液體流的製作法是依據 該晶圓的數據以及根據特殊應用的其他使用者設定之需求 。使用者設定需求可能包括大移動運轉的次數,大或小噴 嘴的使用,或留在晶圓上銅層的厚度。典型的,一晶圓測 -36- (30) (30)200402821 量度量衡工具測量鍍在一取樣晶圓上銅的厚度數據。該測 量將幫助產生一流量比例表,該表包括被用在晶圓上一特 定設定點的拋光處理流量比。該資料和導致的比例表產 生一'亞屬膜厚度數據’錯由使用者設疋需求,該數據可以 被進一步的修改來制定晶圓的數據表示厚度,以及電鍍處 理期間的電流密度和流體速度。 加在晶圓1 80 1上的電流密度可以根據淸除的形式來 變化。舉例來說,要淸除在晶圓1 8 〇 1上的厚金屬層,一 較高的流量通常將被利用。要淸除一薄金屬膜,一較小的 流量通常將被用來使一個更被控制和精準的程序成爲可能 〇 爲一個包括相對厚之金屬層的晶圓電拋光的示範處理 ,或方式將被描述。該示範方式通常需要四個或更多的處 理步驟。第一,該金屬,例如銅,厚層的大部分淸除被執 行。第二,末端點偵測器1 8 2 8測量剩下銅層的反射性來 決定晶圓上一特定區域之進一步拋光的設定點。該處理根 據反射讀數再計算該膜的厚度。第三,依據新的金屬膜厚 度數據,處理淸除銅的相對薄層。第四,末端點偵測器 1 8 2 8測量銅層的反射鍍來絕定晶圓1 8 0 1是否已經被拋光 到想要的厚度和/或數據。第三殻第四步驟可以被重複直 到晶圓1 80 1被拋光到想的厚度和/或數據。 必須認知的是,然而,如果末端點偵測器1 8 2 8測定 太多的銅鍍從晶圓1 80 1淸除,例如,在初始淸潔處理, 本發明可以包括用銅在晶圓表面特定區域的再電鍍處理。 -37- (31) (31)200402821 該電鍍處理可以包括在噴嘴塊1 830裏的噴嘴藉由例如 CuS〇4 + H4S〇4 + H2〇等諸如此類的適當電解液,使電壓反向 的方法。一示範電鍍裝置方法被描述在先前被引用且在此 被具體化的U.s.專利文號63 9 1 1 66。 示範處理方式: 步驟一 ·爲了淸除晶圓1801上的銅層,當夾頭組件 1 930沿著X方向移動時,Θ馬達1 926以線性不變的速度 旋轉夾頭組件1 930。在噴嘴塊1 830裏的噴嘴可以定流速 的引導處理液到晶圓1801。Θ馬達1 926的轉速能與流密 度和旋轉夾頭組件1 930的線性移動距離有關。被用在晶 圓1 80 1上的電流比例也可以基於金屬膜厚度數據和使用 者設定需求。該示範方式可以在旋轉夾頭組件1 930的線 性移動上每一個資料點之間連續的推斷新的流密度,以及 每一個資料點上推斷新的線性速度。該方法可以利用這個 新的流比例和線性速度被更進一步的計算。處理驅動系統 沿著X方向移回夾頭組件1 930到開始位置。 步驟二·當Θ馬達1 926再次以定線性速度旋轉夾頭 組件1 930,夾頭組件沿著X方向向前向後移動時,末端 點偵測器1 8 2 8測量晶圓1 80 1鍍銅表面的反射度。本例在 使用者設定的範圍紀錄晶圓1 80 1的反射度和夾頭組件對 應的線性距離。本例推斷新的資料到金屬膜厚度數據的部 分內。 步驟三.重複步驟一,除了液體流將會基於末端點偵 -38- (32) 200402821 測器1 828到晶圓1 80 1的反射度,在一特定線性距離的晶 圓位置上,被調整。在噴嘴塊1 83 0內的一個較小噴嘴可 以被用來完成一個更能被控制的鍍銅表面之拋光。 步驟四。重複步驟二。如果從末端點偵測器1 828偵測的 反射度比事先設定値要大,重複步驟三。 在示範拋光處理期間,夾頭組件1 930可以用以下三 種模式旋轉: 1 )固定線性速度模式: 2πΚ ( 1 λ 其中,R是在噴嘴和晶圓之間的水平距離,C!是定數,以 及^是旋轉速度。 在實際控制上,R = 0造成無限大的旋轉速度設定値; 因此,數學式(1 )可以表示如下: (2) + C2) 其中C2是一個根據特定裝置和運用設定的定數 (309/8 5 6 85 5, titled METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES, stated on May 21, 2001. All three are embodied by the references here. • 34- (28) (28) 200402821 As shown in FIG. 18, the processing chamber 1 8 2 2 may include a movable tube sleeve 1 802, which is provided with the collet assembly 1 930 and the sleeve fender 1810. Move to control the process fluid and electrolyte within the chamber area. For optical sensors and end point detectors 1 828, or other components such as sensors for detecting leaks in the bottom chamber 1 8 1 6 or the chamber tray 1 8 1 4, an optical sensor cable It can be installed via the conveyor 1 8 1 8. An additional plug 1 820 can be used as an additional conveyor. The exemplary devices of FIGS. 18 and 19 include a magnet 1 952 to be connected to an X-axis magnet 1 946. The collet assembly 1 930 can be moved in the X direction by sliding on the shaft 1 944 via the X-axis linear bearing 1 9 34. The process drive system can be detached from the process chamber assembly when the demonstration unit is not in use, such as during a process unit change or during maintenance. The motor 1 908 rotates an internal screw in the X-axis drive unit 1 904 counterclockwise to move forward in the X direction. The same or new process drive assembly interfaces with the process chamber assembly in the same way. An example includes a safety margin. If there is an object between the processing drive system and the chamber, or something prevents the X-axis drive assembly 1 904 from moving forward or backward, the magnet 1 95 2 or 1 946 will be removed from the magnetic separation disc 1 948 left. The X-axis drive assembly 1 904 and motor 1 908 will not be able to move the chuck assembly and the upper cover further; at that point, the X-axis sensor 丨 9 1 6 will recognize that the X-axis brought by the stop processing drive system is disengaged, and Motor 1 908 will stop powering. During the installation of irregular devices or regular maintenance, the y-axis calls the full thumbscrew 1 9 3 6 to adjust the position of the chuck assembly 1 9 3 0 on the movable tube cover 1 8 0 2 and adjust the nozzle plate in the y direction. 1 8 2 6. (29) (29) 200402821 Referring to FIGS. 18 and 19, when the demonstration processing chamber is used in a processing application, by connecting the magnet 1 952 on the processing drive system to the magnetic connector 1 804 on the processing chamber assembly, the The process drive system will be docked in the process chamber assembly. The window cylinder 1 840 raises the door cushion 1838 from the half moon room 1 83 6 to make an opening in the room window 1 8 34. A robot (see Figure 1) can transfer wafers 1801 from the previous calibrator through the chamber window 1 8 34. Wafer 1801 is loaded into chuck assembly 1 930 for electro-polishing and / or plating. In order to move the chuck assembly 1 930 from the loading or initial position to the electro-polishing or plating position, the motor in the z-axis stage assembly 1 922 rotates its internal shaft assembly to lower the z-axis disc from the z-axis linear bearing 1 9 4 2 1 9 3 8 until the distance between the chuck assembly 1 930 and the top of the nozzle block 1 830 is in the range of about 0.5 to 10 mm, and 5 mm is better. Alternatively, if the model processing chamber is reserved for plating, the motor in the z-axis stage assembly 1 922 can lower the z-axis disk 1 93 8 from the z-axis linear bearing 1 942 until the crystal on the chuck assembly 1 930 The distance between the circle 1801 and the top surface of the concentric circle device is in the range of about 0.5 to 10 mm, and 5 mm is preferred. After a first metal has been plated with a crystal circle 1801, the 'z-axis disk 1 93 8 can be moved upward in accordance with the method of the additional plating of the wafer 1801. In order to polish the wafer 1801, the demonstration processing chamber uniformly and incrementally removes copper from the copper-plated wafer 1801 by applying currents with different fluid densities to different areas on the wafer. The current and process liquid flow are made based on the wafer data and the requirements set by other users for special applications. User-set requirements may include the number of large movements, the use of large or small nozzles, or the thickness of the copper layer left on the wafer. Typically, a wafer measurement -36- (30) (30) 200402821 metrology tool measures the thickness data of copper plated on a sample wafer. This measurement will help generate a flow ratio table that includes the polishing process flow ratio used at a specific set point on the wafer. This information and the resulting scale table produced a 'sub-general film thickness data' error set by the user. This data can be further modified to formulate wafer data to indicate the thickness, and the current density and fluid velocity during the plating process. . The current density applied to the wafer 1 80 1 can be changed according to the type of erasure. For example, to eliminate the thick metal layer on the wafer 180, a higher flow rate will usually be utilized. To eliminate a thin metal film, a smaller flow rate will usually be used to make a more controlled and accurate procedure possible. A demonstration process for electropolishing a wafer that includes a relatively thick metal layer, or a method that will is described. This demonstration approach typically requires four or more processing steps. First, most removal of thick layers of this metal, such as copper, is performed. Second, the end point detector 1 8 2 8 measures the reflectivity of the remaining copper layer to determine the set point for further polishing of a specific area on the wafer. The process recalculates the thickness of the film based on the reflection readings. Third, based on the new metal film thickness data, a relatively thin layer of copper is removed. Fourth, the end point detector 1 8 2 8 measures the reflective plating of the copper layer to determine whether the wafer 1 80 1 has been polished to the desired thickness and / or data. The third shell fourth step may be repeated until the wafer 1 80 1 is polished to the desired thickness and / or data. It must be recognized, however, that if the end point detector 1 8 2 8 determines that too much copper plating is removed from the wafer 1 80 1, for example, during an initial cleaning process, the present invention may include using copper on the wafer surface Re-plating in specific areas. -37- (31) (31) 200402821 The plating process may include a method in which the nozzles in the nozzle block 1 830 are reversed in voltage by a suitable electrolyte such as CuS04 + H4S04 + H20. An exemplary electroplating apparatus method is described in U.s. Patent Document No. 63 9 1 1 66 previously cited and embodied herein. Exemplary processing method: Step 1 To remove the copper layer on the wafer 1801, when the chuck assembly 1 930 moves in the X direction, the Θ motor 1 926 rotates the chuck assembly 1 930 at a linear and constant speed. The nozzles in the nozzle block 1 830 can guide the processing liquid to the wafer 1801 at a constant flow rate. The rotation speed of the Θ motor 1 926 can be related to the flow density and the linear movement distance of the rotary chuck assembly 1 930. The current ratio used on the wafer 1 80 1 can also be based on the metal film thickness data and the user's set requirements. This exemplary method can continuously infer a new flow density between each data point on the linear movement of the rotary chuck assembly 1930, and a new linear velocity on each data point. This method can be further calculated using this new flow ratio and linear velocity. The process drive moves the chuck assembly 1 930 back to the starting position in the X direction. Step 2: When the Θ motor 1 926 rotates the chuck assembly 1 930 again at a constant linear speed, and the chuck assembly moves forward and backward along the X direction, the end point detector 1 8 2 8 measures the wafer 1 80 1 copper plating The reflectivity of the surface. In this example, the reflectance of wafer 1 80 1 and the linear distance corresponding to the chuck assembly are recorded in a range set by the user. This example extrapolates the new data to the portion of the metal film thickness data. Step 3. Repeat step 1, except that the liquid flow will be adjusted based on the reflectance of the end point detector -38- (32) 200402821 detector 1 828 to the wafer 1 80 1 at a specific linear distance from the wafer position. . A smaller nozzle in the nozzle block 183 0 can be used to complete a more controlled polishing of the copper-plated surface. Step four. Repeat step two. If the reflectance detected from the end point detector 1 828 is greater than the preset value, repeat step 3. During the demonstration polishing process, the chuck assembly 1 930 can be rotated in the following three modes: 1) Fixed linear speed mode: 2πΚ (1 λ where R is the horizontal distance between the nozzle and the wafer, C! Is a fixed number, And ^ is the rotation speed. In actual control, R = 0 causes infinite rotation speed setting 値; Therefore, the mathematical formula (1) can be expressed as follows: (2) + C2) where C2 is a setting according to a specific device and application Fixed number (3

2 )固定旋轉速度模式: & = C3 其中C3是一個處理方式設定的常數。 3 )固定離心力模式: = C4 =離心力 R ( 4 ) 其中,V是線性速度,R是在噴嘴和晶圓之間的水平距離 ,以及C%是一個根據特定裝置和運用設定的定數。 數學式(4)可以藉由使用丨/ = &2竑被重寫成 -39- (33) 2004028212) Fixed rotation speed mode: & = C3 where C3 is a constant set by a processing method. 3) Fixed centrifugal force mode: = C4 = centrifugal force R (4) where V is the linear velocity, R is the horizontal distance between the nozzle and the wafer, and C% is a fixed number set according to the specific device and application. Mathematical formula (4) can be rewritten as -39- (33) 200402821 by using 丨 / = & 2 竑

AA

Vq 2n^RVq 2n ^ R

再者,在特定情況下,R = 0造成無限大的旋轉速度設 定値 ,3,數學式(5)可以被重寫爲: ή - ^ _ + C5Furthermore, under certain circumstances, R = 0 results in an infinitely large rotation speed setting 値, 3, and the mathematical formula (5) can be rewritten as: ή-^ _ + C5

其中C5是一個根據特定裝置和運用設定的定數 夾頭的水平方向或X方向的動量可以寫成:Among them, C5 is a fixed number set according to the specific device and application. The horizontal or X direction momentum of the chuck can be written as:

2nR (7 ) 其中;是夾頭組件1 930在X方向的速度,並且在特定 下,R = 0造成無限大的A,數學式(7)可以被寫成: . C6 R =- 2n{R^Cn) , 〇、 情況 其中C7是一個根據特定裝置和運用設定的定數。 雖然圖十八和十九顯示一處理驅動系統,其中夾 件1 93 0沿著X方向移動,必須認知的是,在處理期 噴嘴盤1 826或著夾頭組件1 930和噴嘴盤1 826兩者 根據特殊用途沿著X方向移動。 圖二十顯示一個可以被包括在示範處理室組件的 噴嘴頭2054。該示範噴嘴2054包括一增強能源單元 ,該單元可以被裝上或機械的連接到噴嘴2054。增 源單元2080可以增強在金屬膜2004表面上電解液 震動來提供較高的拋光速率,較好的表面修整,和品 在一個示範噴嘴2054中,該能源增強能源單元 包括一個超音波或m a g n a s ο n i c轉換器。電解液2 0 8 1 從噴嘴2054的側入口 5 200被放入。超音波轉換器的 -40- 頭組 間, 可以 示範 2080 強能 208 1 質。 2080 可以 頻率 (34) (34)200402821 可以在1 5kHz到100MHz的範圍內震動。超音波轉換器的 製成材質可以是鐵電的陶製品,例如鋇化鈦酸鹽(LlTa〇3 ),鉛化鈦鹽酸’鉛化鉻酸鹽等諸如此類。超音波轉換器 的功率可以在0.01到1 w/cm2。 在另一個例子中,能源增強能源單元2〇8〇可以包括 一個雷射。爲了如上述相似的目的,在電拋光期間一個雷 射被照射在金屬表面。該雷射可以是,一固態雷射,例如 紅寶石雷射,鉚玻璃雷射,或鉚:YAG (釔鋁石榴石, Y 3 A h Ο 12 )雷射,氣體雷射,例如氨氣雷射,二氧化碳雷 射,氫化氟雷射等諸如此類。該雷射連續模式的平均功率 可以在1W到100W/cm2範圍內。在其它例子中,該雷射可 以在脈衝模式下運作。該脈衝模式雷射功率要比平均模式 功率來的高許多,就如同藉著現今技術被認知的一樣。 該雷射也可以偵測晶圓1 004上金屬膜的膜厚度。在 這個例子中,被引導到金屬膜的雷射激起金屬膜上的超音 波。金屬膜2004厚度可以在電拋光處理期間經由偵測的 超音波被測量出。藉著改變流,在輻射方向的噴嘴速度等 ,諸如此類,金屬膜2004的厚度可以被用來控制拋光速 率。 在另一個例子中,能源增強能源單元2080可以包括 在拋光處理期間用來韌煉金屬膜2004的紅外線光源。該 紅外線光源可以提供額爲的選擇來控制拋光期間金屬膜的 表面溫度。紅外線光源的功率可以在lw到100w/c 1112的範 圍內。一紅外線光源也可以在拋光處理期間用來朝煉金屬 -41 - (35) (35)200402821 膜。該榖粒狀和結構對決定銅內部連接電遷移表現和電阻 而言是非常重要的。因爲溫度是決定該金屬層的穀粒狀和 結構的一個因素,一紅外線光源可以在拋光處理期間被用 來偵測金屬膜的表面溫度。 一紅外線光源也可以被用來決定金屬膜的溫度。藉著 修正紅外線光源功率,改變流密度等諸如此類,監測該溫 度提供拋光處理期間的溫度調整。 在另一個例子中’在一拋光處理期間,能源增強能源 單元2080可以包括一磁場來集中在晶圓2004上的拋光流 體。集中拋光流體考慮到噴嘴拋光率數據的增強控制,對 相對大直徑噴嘴而言更形重要。一個在電解液流方向的磁 場被產生,即是,與金屬膜表面垂直的方向。一個磁鐵和 電磁鐵,超導體線圏驅動磁鐵或諸如此類可以被用來產生 和集中該磁場。 必須被認知的是,其它能源,例如紫外線,X光,微 波源等諸如此類也可以被用來強化通常如上所描述的電拋 光處理的表現。 雖然與特定實施例,例子和運用有關的示範室膜組和 處理已經被描述,顯而易見的是,對現今技術而言,不同 的改良與修正在不背離本發明的情況下是可行的。 V .電鍍裝置和處理 一個半導體組件的另一個觀點,電鍍裝置和方法被包 括來電鍍半導體晶圓。在一個電鍍裝置和處理中,想要的 • 42- (36) (36)200402821 是處理液被均勻的散佈在晶圓表面上來鍍上一層一樣厚的 金屬膜。在一個示範處理中,一電鍍裝置的噴頭被描述包 括一濾心塊,該濾心塊阻止電解液的即時流,並且經由從 噴頭出現之前的噴頭管道更均勻的散佈處理液。經由該管 道更均勻的分布該液體導致從噴頭組件的每一個孔來的電 解液流速相等或幾乎相等,來增加電鍍處理的均勻度。 圖二十一描述一電鍍半導體晶圓2102用之式範電鍍 裝置的分解圖示。該電拋光裝置可以包括半月室2104, 固定罩2106,電鍍噴頭組件2108,排氣管21 10,液體進 口 2112,電解液 fit through 2114,液體 fit through 2116 ,室托盤2118,底室視窗2120,底室2122,處理室2124 ,室視窗2126,上蓋組件2130,液體進口管2132,電極 纜線2134,以及軸2136。上蓋組件2130在功能上可與先 前在標題”處理室”底下討論的示範上蓋組件相似。例如, 該固定罩2106蓋住晶圓夾頭(沒有被顯示)來防止在電 鍍和轉乾處理期間電解液從室中灑出。 如圖二十一所示,晶圓2102經由半月室2104被裝入 電鍍裝置中的上蓋組件2 1 3 0之晶圓夾頭。爲了在晶圓 2 1 0 1上鍍銅,上蓋組件2 1 3 0將會降低晶圓2 1 0 2並且將晶 圓定位在電鍍噴頭組件2 1 08頂部之上。在一示範電鍍處 理中,當晶圓2 1 02和電鍍噴頭組件2 1 08之間的間隙在大 約0 · 1 m m到1 0 m m的範圍時,2 m m較好,一個第一金屬層 的局部儲存被執行。上蓋組件2 1 30可以升起晶圓2 1 02額 外的2 m m到5 m m,並且一個第二層沉澱可以在晶圓上銅 (37) 200402821 的較厚層被儲存之處被執行。2nR (7) where: is the speed of the chuck assembly 1 930 in the X direction, and under certain conditions, R = 0 results in an infinite A. Mathematical formula (7) can be written as:. C6 R =-2n {R ^ Cn), 〇, case where C7 is a fixed number set according to a specific device and application. Although FIGS. 18 and 19 show a processing drive system in which the clamping member 1 930 moves in the X direction, it must be recognized that during the processing period, the nozzle disk 1 826 or the chuck assembly 1 930 and the nozzle disk 1 826 are both The person moves in the X direction according to the special purpose. Figure twenty shows a nozzle head 2054 that may be included in an exemplary process chamber assembly. The exemplary nozzle 2054 includes an enhanced energy unit that can be mounted or mechanically connected to the nozzle 2054. The source-increasing unit 2080 can enhance the vibration of the electrolyte on the surface of the metal film 2004 to provide a higher polishing rate, better surface finishing, and a model nozzle 2054. The energy-enhancing energy unit includes an ultrasonic or magnas nic converter. Electrolyte 2 0 8 1 is put in from the side inlet 5 200 of the nozzle 2054. Between the -40-head group of the ultrasonic converter, a 2080 high-power 208 1 quality can be demonstrated. 2080 Possible Frequency (34) (34) 200402821 Can vibrate in the range of 15kHz to 100MHz. The ultrasonic converter can be made of ferroelectric ceramics, such as barium titanate (LlTaO3), lead titanium hydrochloride 'lead chromate, and the like. The power of the ultrasonic converter can range from 0.01 to 1 w / cm2. In another example, the energy enhanced energy unit 2080 may include a laser. For a similar purpose as described above, a laser is irradiated on the metal surface during electropolishing. The laser can be a solid-state laser, such as a ruby laser, a riveted glass laser, or a riveted: YAG (yttrium aluminum garnet, Y 3 A h Ο 12) laser, a gas laser, such as an ammonia laser , Carbon dioxide laser, hydrogen fluoride laser and so on. The average power of this laser continuous mode can range from 1W to 100W / cm2. In other examples, the laser can be operated in pulsed mode. The pulse mode laser power is much higher than the average mode power, just as it is known by today's technology. The laser can also detect the film thickness of the metal film on the wafer 1 004. In this example, a laser directed to a metal film excites an ultrasonic wave on the metal film. The thickness of the metal film 2004 can be measured during the ultrasonic polishing process through detected ultrasonic waves. By changing the flow, the nozzle speed in the radiation direction, etc., the thickness of the metal film 2004 can be used to control the polishing rate. In another example, the energy enhanced energy unit 2080 may include an infrared light source used to toughen the metal film 2004 during the polishing process. The infrared light source can provide an option to control the surface temperature of the metal film during polishing. The power of the infrared light source can be in the range of lw to 100w / c 1112. An infrared light source can also be used to smelt metal -41-(35) (35) 200402821 films during the polishing process. The graininess and structure of the osmium are very important in determining the electromigration performance and resistance of copper internal connections. Because temperature is a factor that determines the grain shape and structure of the metal layer, an infrared light source can be used to detect the surface temperature of the metal film during the polishing process. An infrared light source can also be used to determine the temperature of the metal film. By modifying the power of the infrared light source, changing the flow density, and the like, monitoring this temperature provides temperature adjustment during the polishing process. In another example ', during a polishing process, the energy enhanced energy unit 2080 may include a magnetic field to focus a polishing fluid on the wafer 2004. Concentrated polishing fluids take into account the enhanced control of nozzle polishing rate data, which is even more important for relatively large diameter nozzles. A magnetic field in the direction of the electrolyte flow is generated, that is, a direction perpendicular to the surface of the metal film. A magnet and an electromagnet, a superconducting coil drive magnet or the like can be used to generate and concentrate the magnetic field. It must be recognized that other energy sources, such as ultraviolet, X-ray, microwave sources, etc., can also be used to enhance the performance of electropolishing processes as generally described above. Although exemplary chamber membrane sets and processes related to specific embodiments, examples, and applications have been described, it will be apparent that different improvements and modifications are possible for today's technology without departing from the invention. V. Plating Device and Processing Another aspect of a semiconductor assembly, a plating device and method are included to plate a semiconductor wafer. In an electroplating device and process, what is desired is 42- (36) (36) 200402821 where the treatment liquid is evenly spread on the wafer surface to plate a metal film of the same thickness. In an exemplary process, the spray head of an electroplating device is described as including a filter block that blocks the instant flow of electrolyte and more evenly distributes the processing fluid through the nozzle pipe before the emergence of the shower head. Distributing the liquid more uniformly through the pipe results in equal or almost equal flow rates of the electrolyte from each hole of the showerhead assembly to increase the uniformity of the plating process. FIG. 21 illustrates an exploded view of a conventional plating apparatus for plating a semiconductor wafer 2102. As shown in FIG. The electric polishing device may include a half-moon chamber 2104, a fixed cover 2106, a plating nozzle assembly 2108, an exhaust pipe 21 10, a liquid inlet 2112, an electrolyte fit through 2114, a liquid fit through 2116, a chamber tray 2118, a bottom chamber window 2120, a bottom Chamber 2122, processing chamber 2124, chamber window 2126, cap assembly 2130, liquid inlet tube 2132, electrode cable 2134, and shaft 2136. Cap assembly 2130 may be similar in function to the exemplary cap assembly previously discussed under the heading "Processing Chamber". For example, the fixed cover 2106 covers the wafer chuck (not shown) to prevent electrolyte from spilling out of the chamber during the plating and drying process. As shown in FIG. 21, the wafer 2102 is loaded into the wafer chuck of the upper cover assembly 2 130 in the plating device through the half-moon chamber 2104. In order to plate the copper on the wafer 2 1 0 1, the upper cover assembly 2 1 3 0 will lower the wafer 2 1 2 and position the wafer above the top of the plating nozzle assembly 2 1 08. In a demonstration electroplating process, when the gap between the wafer 2 102 and the plating head assembly 2 108 is in the range of about 0.1 mm to 10 mm, 2 mm is better, a portion of a first metal layer Storage is performed. The lid assembly 2 1 30 can raise the wafer 2 1 02 by an additional 2 mm to 5 mm, and a second deposit can be performed where the thicker layer of copper (37) 200402821 on the wafer is stored.

示範電鍍處理和程序被描述在U.S.專利文號639 1 1 66 ,標題爲 PLATING APPARATUS AND METHOD 申請於 January 15,1 999, U.S.專利申請序號 09/83 7902,標題 爲 PLATING APPARATUS AND METHOD,申請於 April 18 ,200 1,以及 U.S.專利申請序號 09/8 3 7 9 1 1,標題爲 PLATING APPARATUS AND METHOD,申請於 April 18, 2001。藉由參考文獻其全部的內容被具體化。Demonstration plating processes and procedures are described in US Patent No. 639 1 1 66, entitled PLATING APPARATUS AND METHOD, January 15, 1999, US Patent Application No. 09/83 7902, entitled PLATING APPARATUS AND METHOD, April 18, 2001, and US Patent Application Serial No. 09/8 3 7 9 1 1 entitled PLATING APPARATUS AND METHOD, filed on April 18, 2001. Its entire content is specified by reference.

圖二十二描述一電鍍處理用之式範噴頭裝置2108的 分解圖示。噴頭裝置2108可以包括外通道環2202,噴頭 頂 2 204,以及噴頭2206。圖二十三和二十四分別描述爲 電鍍300mm晶圓和200mm晶圓裝配之示範噴頭的分解圖 示。爲了 200mm晶圓的使用,只要將300mm的外通道環 2302換成200mm外通道環2402,以及將300mm噴頭頂 23 04換成200mm噴頭頂2404。因此,噴頭2006可以被用 在300mm和200mm的晶圓上。關於圖二十四,當晶圓大 小從300mm降到200mm時,該噴頭頂2404可以包括較少 的環套以及外通道環2402的直徑可以更小。必須認知的 是,然而,該示範噴頭可以爲任何大小的晶圓安裝。 圖二十五A描述一示範噴頭的分解圖示。如圖二十五 A所示,噴頭2206可以包括電極環套2502,螺帽25 04, 電極連接器2506,電極外連接器2508,小入口外傾裝置 25 10,入口外傾裝置2512,電鍍濾心塊2514,噴頭基底 25 16,濾心墊片2518,以及鍍濾心環套25 20。每一個電 -44- (38) 200402821Fig. 22 depicts an exploded view of a conventional sprinkler device 2108 for a plating process. The showerhead device 2108 may include an outer channel ring 2202, a showerhead 2204, and a showerhead 2206. Figures 23 and 24 depict exploded views of exemplary showerheads for plated 300mm wafers and 200mm wafer assemblies, respectively. For the use of 200mm wafers, it is only necessary to replace the 300mm outer channel ring 2302 with a 200mm outer channel ring 2402, and replace the 300mm nozzle head 23 04 with a 200mm nozzle head 2404. Therefore, the showerhead 2006 can be used on 300mm and 200mm wafers. Regarding FIG. 24, when the wafer size is reduced from 300 mm to 200 mm, the nozzle head 2404 may include fewer rings and the diameter of the outer channel ring 2402 may be smaller. It must be recognized, however, that this demonstration head can be mounted for any size wafer. Figure 25A depicts an exploded view of an exemplary showerhead. As shown in FIG. 25A, the shower head 2206 may include an electrode ring 2502, a nut 25 04, an electrode connector 2506, an electrode external connector 2508, a small inlet camber device 25 10, an inlet camber device 2512, and an electroplating filter. A core block 2514, a nozzle base 25 16, a filter core gasket 2518, and a plated filter core sleeve 2520. Every Electricity -44- (38) 200402821

極環套2502被安裝在相稱的鍍濾心環套25 20上,並且藉 著閂緊電極環套25 02的電極,螺帽2504,電極連接器 25 06和電極外連接器2508,來將電極環套2502鎖進噴頭 基底2516上面的地方。如圖二十一所示,每一電極藉著 電極纜線2134與電極外連接器2508連接。電極環套2502 可以是抗腐鈾或合金製造,例如白金,包覆白金的鈦,等 諸如此類。噴頭基底25 1 6將有從入口外傾裝置25 1 2和從 小入口外傾裝置25 1 0來的電解液流的通道。The electrode ring sleeve 2502 is installed on a corresponding plated ring sleeve 25 20, and the electrode is fastened by clamping the electrode of the electrode ring sleeve 25 02, the nut 2504, the electrode connector 25 06, and the electrode outer connector 2508. The collar 2502 is locked into the top of the nozzle base 2516. As shown in FIG. 21, each electrode is connected to an outer electrode connector 2508 via an electrode cable 2134. The electrode ferrule 2502 may be made of corrosion-resistant uranium or an alloy, such as platinum, platinum-coated titanium, and the like. The showerhead base 25 1 6 will have channels for the electrolyte flow from the inlet camber device 25 1 2 and the small inlet camber device 25 1 0.

更進一步的看圖二十五A,入口外傾裝置2512可以 比噴頭基底25 1 6裏的通道寬度來的大,並且入口外傾裝 置不能被閂緊在7 -或1 0 -環套上的相同位置。爲了閂緊入 口外傾裝置在噴頭基底25 1 6上,並且平均分配張力和重 量在環套上,其它每個小入口外傾裝置25 1 0或入口外傾 裝置25 1 2和相對濾心塊25 1 4被放置在相反的半圓上(濾 心塊25 14沒有顯示)。與入口外傾裝置25 1 2相似,電極 環套2502安裝在電鍍濾心環套25 20,如此電極與其它每 一個電極環套被放置在圓的另一半。 圖二十五B描述一電鍍濾心環套2520和與濾心墊片 25 1 8在一起的電鍍濾心塊25 1 4所組成的一個液體流塊組 件的分解圖示,並且一個電極環套25 02裝在該液體流塊 組件。該示範液體流塊組件將被放置在入口外傾裝置 2512下面之噴頭基底2516的上面,以及在具有〇環2530 (沒有示出)的每一個電鍍濾心塊25 1 4的中心之上。每 一個電鍍濾心環套25 20有洞25 22,每一個孔的中心裏有 -45- (39) (39)200402821 一窄孔徑。現在關於圖二十五A和二十五B,當液體流塊 組件和電極環套2 5 0 2閂緊在噴頭基底2 5 1 6時,一個通道 在電鍍濾心環套2520和噴頭基底的底部之間形成。電解 液會從入口外傾裝置25 1 2流進。電解液流會先到達入口 上面之電鍍濾心塊25 1 4的中心,並且散佈貫穿該通道。 當電解液在該通道升起時,該電解液會均勻的流出洞 25 22並且到達電極環套2502。該電解液通過電極環套 2 5 02,並且經由噴嘴頭2004中的口 2524均勻的流到晶圓 2102的表面上。 圖二十五C描述洞25 22和噴頭2006底上的噴嘴頭口 2 5 24之間的關係。如圖二十五C和二十二所示,該噴頭 頂2004被堆放在噴頭2006上,如該口 2524被放置在兩 個洞25 22之間。該交錯安排的位置可以使前面討論的電 解液流更均勻的流過在該液體塊流組件上的每一個凹處。 如圖二十五D中的噴頭的上視圖所示,該口 25 24繞著在 噴頭頂2204 (或2304或2404 )上的外環套被儲存。這些 口 25 24,也在噴頭頂2240上的封閉環套裡面,可以依據 特殊用途被做成任何形狀,例如圓形,痩長形等。有關圖 二十四,口 2524可以做成伸長圓的形狀,該伸長圓的形 狀是由三個圓孔所產生。 如果沒有電鍍濾心塊25 1 4,入口外傾裝置25 1 2可以 直接經由在入口外傾裝置附近的上面的一個或更多個孔送 出電解液,導致遍佈通道上的電解液之不均衡分佈。由於 電解液從一出口流出,該電解液之液壓會很難控制。利用 -46- (40) (40)200402821 液體流塊組件,該示範裝置可以提供較好的金屬沉澱用電 解液的控制,例如銅,因爲電鍍濾心塊25 1 4將會妨礙立 即的電解液流,並且分佈電解液在整個通道上。分佈電解 液在整個通道上提供相等或幾乎相等的電解液體積從電鍍 濾心環套2520上每一個洞25 22流出。如圖二十五E所示 ,電解液從電極外連接器2508出來,經過噴頭基底2516 和電鍍濾心環套2520,繞過電極環套25 02以及流出在噴 頭頂2004上的口 2524。 雖然與特定的實施例,例子,和應用有關的示範噴頭 裝置已經被描述,顯而易見的是,對現今技術而言,不同 的改良與修正在不背離本發明的情況下是可行的。 VI.平整晶圓之方法和裝置 根據另一個觀點,整平半導體晶圓用的方法和裝置與 一個處理模組有關,例如電拋光或電鍍裝置。一般而言 ,當處理一晶圓時,想要做的是,晶圓被整平使得晶圓的 主表面與處理室或工具的一層表面平行。例如,校正在處 理裝置中的晶圓增加拋光或電鍍的一致性。 圖二十六A和二十六B顯示一個示範整平工具,其 可以被用來測量晶圓2602相對於處理裝置,例如一處理 室,的平行距離在± 〇。0 01英吋的範圍內。如圖二十六A 和二十六B所示,該整平裝置一般來說包括整平工具 2604,接地線2610,信號線1612,控制系統2614,和夾 頭 2 6 1 6。 (41) 200402821 一示範夾頭被描述在U.S.專利文號6248222B1,標題 爲 METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES,申請於 September 7, 1 999,和 U.S.專利Looking further at Figure 25A, the entrance camber device 2512 can be larger than the width of the channel in the nozzle base 25 1 6 and the entrance camber device cannot be bolted to the 7- or 10-ring. Same location. In order to fasten the inlet camber device on the nozzle base 25 1 6 and evenly distribute tension and weight on the ring sleeve, every other small inlet camber device 25 1 0 or inlet camber device 25 1 2 and the relative filter block 25 1 4 is placed on the opposite semicircle (filter block 25 14 is not shown). Similar to the entrance camber device 25 1 2, the electrode ferrule 2502 is mounted on the electroplated filter core ferrule 25 20 so that the electrode and each other electrode ferrule are placed on the other half of the circle. FIG. 25B depicts an exploded view of a liquid flow block assembly composed of a plated filter ring sleeve 2520 and a plated filter block 25 1 4 together with a filter gasket 25 1 8, and an electrode ring sleeve 25 02 installed in the liquid flow block assembly. This exemplary liquid flow block assembly will be placed above the showerhead base 2516 below the inlet camber 2512, and over the center of each plated filter block 25 1 4 with a ring 2530 (not shown). Each electroplated filter ring sleeve 25 20 has a hole 25 22, and the center of each hole has -45- (39) (39) 200402821 a narrow aperture. Now referring to FIGS. 25A and 25B, when the liquid flow block assembly and the electrode collar 2 5 0 2 are latched on the nozzle base 2 5 1 6, one channel is on the plated filter collar 2520 and the nozzle base Formed between the bottom. Electrolyte will flow in from the inlet camber 25 1 2. The electrolyte flow will first reach the center of the plated filter block 25 1 4 above the inlet and spread through the channel. When the electrolyte rises in the channel, the electrolyte will flow out of the holes 25 22 and reach the electrode ring 2502 uniformly. The electrolyte passes through the electrode ring 2502 and flows uniformly onto the surface of the wafer 2102 through the port 2524 in the nozzle head 2004. Figure 25C depicts the relationship between the holes 25 22 and the nozzle head openings 2 5 24 on the bottom of the shower head 2006. As shown in FIGS. 25C and 22, the nozzle head 2004 is stacked on the nozzle head 2006, and the nozzle 2524 is placed between two holes 2522. This staggered position allows the electrolyte fluid flow discussed earlier to flow more evenly through each recess in the liquid block assembly. As shown in the top view of the showerhead in Figure 25D, the port 25 24 is stored around an outer ring on the showerhead 2204 (or 2304 or 2404). These ports 25 and 24, which are also in the closed ring on the head 2240, can be made into any shape according to special applications, such as round, long and so on. In relation to Figure 24, the mouth 2524 can be made into an elongated circle shape, which is formed by three circular holes. If there is no electroplated filter block 25 1 4, the inlet camber device 25 1 2 can send the electrolyte directly through one or more holes above the inlet camber device, resulting in an uneven distribution of the electrolyte throughout the channel. . Since the electrolyte flows from an outlet, the hydraulic pressure of the electrolyte can be difficult to control. With the -46- (40) (40) 200402821 liquid flow block assembly, this demonstration device can provide better control of the electrolyte for metal precipitation, such as copper, because the plated filter block 25 1 4 will hinder the immediate electrolyte Flow and distribute the electrolyte across the channel. The distributed electrolyte provides an equal or nearly equal volume of electrolyte over the entire channel and flows out of each hole 2522 in the electroplated filter ring sleeve 2520. As shown in FIG. 25E, the electrolyte comes out of the electrode outer connector 2508, passes through the nozzle base 2516 and the electroplated filter ring sleeve 2520, bypasses the electrode ring sleeve 2502, and flows out the port 2524 on the nozzle top 2004. Although exemplary sprinkler devices related to specific embodiments, examples, and applications have been described, it will be apparent that different improvements and modifications can be made to the present technology without departing from the invention. VI. Method and Apparatus for Flattening a Wafer According to another aspect, the method and apparatus for flattening a semiconductor wafer are related to a processing module, such as an electropolishing or plating apparatus. Generally speaking, when processing a wafer, it is desirable that the wafer is flattened so that the major surface of the wafer is parallel to a surface of a processing chamber or a tool. For example, calibrating a wafer in a processing unit increases polishing or plating consistency. Figures 26A and 26B show an exemplary leveling tool that can be used to measure the parallel distance of wafer 2602 relative to a processing device, such as a processing chamber, within ± 0. 0 to 01 inches. As shown in FIGS. 26A and 26B, the leveling device generally includes a leveling tool 2604, a ground line 2610, a signal line 1612, a control system 2614, and a chuck 2 6 1 6. (41) 200402821 A model chuck is described in U.S. Patent No. 6482222B1, entitled METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES, filed on September 7, 1,999, and U.S. Patent.

文號 6495007,標題爲 METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES ^ 申言靑於 March 7 ,2001,藉由此處的參考文獻兩者全部被具體化。Document No. 6495007, titled METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLALATING OF THE WORKPIECES ^ Statement was made on March 7, 2001, and both of them are embodied by reference here.

關於圖二十六A和二十六B,夾頭2616在半導體電 拋光和/或電鍍處理期間夾住晶圓2602。爲了準備一個更 均勻的電拋光和/或電鍍處理,晶圓被平行或接近平行的 放置到處理室2630,特別與處理裝置的電鍍頭或拋光噴 嘴(沒有示出)在一起。整平工具2604可以被放置在處 理室2630內部來提供增加的晶圓調校。 整平工具2604可以包括三個感測器2606和對應的信 號線2612。當整平工具2604被放置在夾頭2616之下,並 且晶圓2602被拿下到整平工具2604時,信號線2612 (經 由感測器2606 )提供到控制系統2614的連接’該連接通 過形成在晶圓2602表面上的一薄金屬層。從控制系統 2614來的接地線2610被連接到晶圓2602金屬層。當感測 器2 606接觸到薄金屬層時,在感側器2606和接地線2610 之間的電路被完成,並且可以藉由控制器26 1 4來測量。 -48- (42) (42)200402821 此外,如圖二十六B所示,整平工具2604可以包括 支柱2608,其用途爲測量夾頭2616中的晶圓2602和拋光 噴嘴的平行距離,以及整平工具2604接近晶圓2602表面 的位置。 圖二十六C描述一示範感測器2 6 0 6的截面圖。感測 器2606可以包括支架2626,固定螺絲2618,針調節器 2 6 20,接點螺絲2622,以及針2624。信號線2602穿過接 點螺絲2622連接到感測器2606。支架2626,針調節器 2 620,和針2624可以由金屬或合金製成,例如不鏽鋼, I太’組,或金。 關於處理工具中,在測量晶圓2602之平行或調教的 示範處理裏,夾頭2616朝整平工具2604下降,直到感測 器2606之一的針2624接觸晶圓2602的導體表面。該接 觸完成一電路,該電路包括信號線26 1 2,接地線26 1 0, 和控制系統2 6 1 4,並且提供一信號到控制系統2 6 1 4。該 控制系統2614在接觸的瞬間決定從夾頭2616的初始位置 到針的位置。 夾頭2616繼續下降直到第二個感測器2606,和第三 個感測器2606接觸晶圓2606的表面。對應兩個感測器 2 606接觸的距離被求出,然後測量程序結束。 如圖二十七所示,該示範處理可以包括一軟體介面, 其顯示每一個感測器2606接觸時的測量距離。該介面也 可以顯示感測器2606的位置。測量距離的最大和最小距 離之間的差異越小,該晶圓2602被調校的更接近或更接 (43) (43)200402821 近平行關係。該資料可以被用來調整夾頭2 6 1 6 ’以及必 然的,晶圓2 6 0 2的位置。在調整後’該測量過程可以重 複,直到測量距離的最大和最小距離之間的差異在設計規 範的範圍內,例如± 〇。〇 〇 1英吋或取決於特殊的應用。 雖然與特定實施利,例子,和應用有關的示範晶圓調 校方法和系統已經被描述’顯而易見的是’對現今技術而 言,不同的改良與修正在不背離本發明的情況下是可行的 0 以上不同的裝置,方法’和系統的細節描述被提供來 描述示範實施利,而且並不意指被限制。顯而易見的是, 對現今技術而言,不同的改良與修正在本發明的範圍內是 可行的。例如,不同的不範電拋光和電鍍裝置,例如淸潔 室,光學感測器,液體輸送系統,末端點偵測器等諸如此 類可以一起被用在一單一處理組件,或著可以被分別用來 加強電拋光和/或電鍍系統和方法。因此,本發明藉由附 加的申請範圍來定義,並且不應被在此的敘述來限制。 【圖式簡單說明】 圖一描述一種示範半導體處理組件,該組件可用來電 拋光及/或電鍍半導體晶圓; 圖二描述一種機器人包括一種爲轉移半導體晶圓的示 範末端受動器; 圖三描述一種示範末端受動器的平面圖視; 圖四A和圖四B描述示範末端受動器的平面圖示和 -50- (44) (44)200402821 橫截面圖視; 圖五描述一種示範末端受動器的平面圖視; 圖六描述一種示範末端受動器的平面圖視; 圖七描述一種示範末端受動器的平面圖視; 圖八描述一種示範真空杯的側面圖示; 圖九A描述一種具有半球狀封蓋的示範淸潔室模組; 圖九B描述一種淸潔室模組的部分內部圖視; 圖九C描述一種具有淸潔噴嘴細節之淸潔室模組的分 解圖視; 圖十A和圖十B描述一種示範邊緣淸潔組件的俯視 圖視和側面圖示; 圖--A至圖十一 Η描述被包括如斜角淸潔模組一 部分之示範噴嘴頭的不同圖視; 圖十二描述被包括如淸潔室模組的一部分的示範馬達 組件的分解圖視; 圖十三描述被包括在淸潔室模組之淸潔室視窗的分解 圖視, 圖十四描述被包括在淸潔室模組之示範光學感測器的 分解圖視; 圖十五描述一示範方法,其目的爲決定一晶圓在卡盤 的適當位置; 圖十六Α至圖十六C和圖十七Α至圖十七C描述示 範晶圓淸潔處理; 圖十八描述一種示範處理室組件的分解圖視; -51 - (45) (45)200402821 圖十九描述一的示範處理驅動系統的分解圖視,該系 統可以被包括在圖十八中的處理室組件; 圖二十描述一種具有能源強化元件的示範噴嘴; 圖二十一描述一種示範電鍍裝置的分解圖視; 圖二十二描述圖二十一中一種示範電鍍噴嘴組件的分 解圖視; 圖二十三描述一種不範300mm晶圓電鍍噴嘴組件的 分解圖視; 圖二十四描述一種示範200mm晶圓電鍍噴嘴組件的 分解圖視; 圖二十五 A至圖二十五 E描述圖二十二至圖二十四 中噴嘴的不同視角 圖二十六A與圖二十六B描述一種示範整平工具合 晶圓卡盤的俯視圖與截面圖; 圖二十六C描述圖二十六A與圖二十六B中一種示 範感測器的截面圖;與 圖二十七描述一整平工具之軟體視窗。 元件符號對照表 100 :組件 102 :電底盤組件 1 0 4 :淸潔排出/處理排氣管 106 :淸潔模組組件 107 :淸潔室模組 -52- (46) 200402821 108 :主結構(backend ΠΒΕ”) 1 10 : AC控制組件 1 12 :液體輸送系統(LDS ) 1 14 :氣體控制系統(GCS )With reference to Figures 26A and 26B, the chuck 2616 chucks the wafer 2602 during a semiconductor electropolishing and / or plating process. To prepare for a more uniform electro-polishing and / or plating process, the wafers are placed parallel or near-parallel to the processing chamber 2630, particularly with the plating head or polishing nozzle (not shown) of the processing apparatus. A leveling tool 2604 may be placed inside the processing room 2630 to provide increased wafer adjustment. The leveling tool 2604 may include three sensors 2606 and corresponding signal lines 2612. When the leveling tool 2604 is placed under the chuck 2616 and the wafer 2602 is taken down to the leveling tool 2604, the signal line 2612 (via the sensor 2606) provides a connection to the control system 2614. The connection is formed by A thin metal layer on the surface of wafer 2602. The ground wire 2610 from the control system 2614 is connected to the metal layer of the wafer 2602. When the sensor 2 606 contacts the thin metal layer, the circuit between the sensor 2606 and the ground line 2610 is completed and can be measured by the controller 26 1 4. -48- (42) (42) 200402821 In addition, as shown in FIG. 26B, the leveling tool 2604 may include a post 2608 for measuring the parallel distance between the wafer 2602 and the polishing nozzle in the chuck 2616, and The leveling tool 2604 is located near the surface of the wafer 2602. FIG. 26C depicts a cross-sectional view of an exemplary sensor 2 6 0 6. The sensor 2606 may include a bracket 2626, a fixing screw 2618, a needle adjuster 2 6 20, a contact screw 2622, and a needle 2624. The signal line 2602 is connected to the sensor 2606 through a contact screw 2622. The holder 2626, the needle adjuster 2 620, and the needle 2624 may be made of a metal or an alloy, such as stainless steel, a stainless steel set, or gold. Regarding the processing tool, in the example of parallel or tuning of the measurement wafer 2602, the chuck 2616 is lowered toward the leveling tool 2604 until the pin 2624 of one of the sensors 2606 contacts the conductor surface of the wafer 2602. The contact completes a circuit including a signal line 26 1 2, a ground line 26 1 0, and a control system 2 6 1 4, and provides a signal to the control system 2 6 1 4. The control system 2614 decides from the initial position of the collet 2616 to the position of the needle at the moment of contact. The chuck 2616 continues to descend until the second sensor 2606 and the third sensor 2606 contact the surface of the wafer 2606. The distance corresponding to the contact between the two sensors 2 606 is calculated, and then the measurement procedure ends. As shown in FIG. 27, the exemplary process may include a software interface that displays a measurement distance when each sensor 2606 is in contact. This interface can also display the position of the sensor 2606. The smaller the difference between the maximum and minimum measurement distances, the closer or closer the wafer 2602 is adjusted to (43) (43) 200402821 near-parallel relationship. This information can be used to adjust the position of the chuck 2 6 1 6 ′ and, of course, the wafer 2 6 2. After adjustment, the measurement process can be repeated until the difference between the maximum and minimum measurement distances is within the design specification range, for example, ± 0. 〇 〇 1 inch or depending on the particular application. Although exemplary wafer tuning methods and systems related to specific implementation benefits, examples, and applications have been described 'obviously' for today's technology, different improvements and modifications are possible without departing from the invention 0 Detailed descriptions of the different devices, methods, and systems above are provided to describe the exemplary implementation benefits and are not meant to be limiting. Obviously, for the present technology, different improvements and modifications are feasible within the scope of the present invention. For example, different non-standard electropolishing and electroplating devices, such as clean rooms, optical sensors, liquid delivery systems, end point detectors, etc. can be used together in a single processing unit, or they can be used separately Enhanced electropolishing and / or plating systems and methods. Therefore, the present invention is defined by the scope of additional applications and should not be limited by the description herein. [Brief description of the drawings] FIG. 1 depicts an exemplary semiconductor processing component that can be polished and / or plated with a semiconductor wafer; FIG. 2 depicts a robot including an exemplary end effector for transferring semiconductor wafers; FIG. 3 depicts a A plan view of a model end effector; Figures 4A and 4B depict a plan view of a model end effector and a cross-section view of -50- (44) (44) 200402821; Figure 5 depicts a plan view of a model end effector Figure 6 depicts a plan view of an exemplary end effector; Figure 7 depicts a plan view of an exemplary end effector; Figure 8 depicts a side view of an exemplary vacuum cup; Figure 9A depicts an example with a hemispherical cover Clean room module; Figure 9B depicts a partial internal view of a clean room module; Figure 9C depicts an exploded view of a clean room module with details of a clean nozzle; Figures 10A and 10B Describes a top view and a side view of an exemplary edge cleaning module; Figures-A to XI describe a sample nozzle head that is included as part of an angled cleaning module The same view; FIG. 12 depicts an exploded view of an exemplary motor assembly included as part of a clean room module; FIG. 13 depicts an exploded view of a clean room window included in the clean room module, FIG. Fourteen depicts an exploded view of an exemplary optical sensor included in a clean room module; Figure fifteen describes an exemplary method whose purpose is to determine the appropriate position of a wafer on a chuck; Sixteen C and FIGS. 17A through 17C describe exemplary wafer cleaning processes; FIG. 18 illustrates an exploded view of an exemplary processing chamber assembly; -51-(45) (45) 200402821 Figure 19 describes a An exploded view of an exemplary process drive system that can be included in the process chamber assembly of FIG. 18; FIG. 20 depicts an exemplary nozzle with an energy-enhancing element; FIG. 21 depicts an exploded view of an exemplary plating device Figure 22 depicts an exploded view of an exemplary plating nozzle assembly shown in Figure 21; Figure 23 depicts an exploded view of an exemplary 300mm wafer plating nozzle assembly; Figure 24 depicts an exemplary 200mm wafer Circular plating spray Exploded views of the components; Figures 25A to 25E depict different views of the nozzles in Figures 22 to 24. Figures 26A and 26B depict an exemplary leveling tool assembly. Top view and cross-sectional view of a wafer chuck; FIG. 26C depicts a cross-sectional view of an exemplary sensor in FIGS. 26A and 26B; and FIG. . Component symbol comparison table 100: module 102: electric chassis module 104: clean exhaust / treatment exhaust pipe 106: clean module module 107: clean room module-52- (46) 200402821 108: main structure ( backend ΠΒΕ ”) 1 10: AC control module 1 12: Liquid delivery system (LDS) 1 14: Gas control system (GCS)

1 1 6 :處理排水管 1 1 8 :啷筒和波浪消除器 1 20 :小屋排氣管 122 :處理槽 1 2 4 :液體濾心 126 :液體密封托盤 128 :以及雙重密封區 130 :處理模組組件 1 3 1 :電鍍組件 132 :前結構(工廠介面,”FI”) 134 :晶圓先前校正器1 1 6: Treatment Drain Pipe 1 1 8: Hoe and Wave Eliminator 1 20: Cabin Exhaust Pipe 122: Treatment Tank 1 2 4: Liquid Filter 126: Liquid Sealed Tray 128: and Double Sealed Zone 130: Treatment Mold Group component 1 3 1: Plating component 132: Front structure (factory interface, “FI”) 134: Wafer previous corrector

136 :前鑲板 1 3 8 :光源塔 140 :機器人結構組件 142 :機器人控制器 144:緊急機器停止(EM〇)按鈕 1 4 6 :前開放一體式縱槽(F〇U P ) 147 :機器人組件 148 :乾末端受動器 149 :濕末端受動器 -53- (47) 200402821 1 5 0 :晶圓136: Front panel 1 3 8: Light source tower 140: Robot structural component 142: Robot controller 144: Emergency machine stop (EM〇) button 1 4 6: Front open integrated vertical slot (F〇UP) 147: Robot component 148: Dry end effector 149: Wet end effector-53- (47) 200402821 1 5 0: Wafer

1 5 2 :風扇過濾器單元 206 :末端受動器 216: 晶圓 302 :真空杯 306 :末端受動器 320 :氮氣閥 322 :真空閥控制 402 :真空杯 404 :蘑菇狀蓋 405 :溝道 406 :末端受動器 408 :刪除部分 412 :真空道 414 :裝置1 5 2: Fan filter unit 206: End effector 216: Wafer 302: Vacuum cup 306: End effector 320: Nitrogen valve 322: Vacuum valve control 402: Vacuum cup 404: Mushroom cover 405: Channel 406: End effector 408: Deleted part 412: Vacuum channel 414: Device

4 1 6 :晶圓 5 02 :真空杯 5 0 6 :末端受動器 5 14 :裝置 602 :真空杯 604 :蘑菇狀蓋 606:末端受動器 702 :真空杯 704 :蘑菇狀蓋 -54- (48) 200402821 706 :末端受動器 714 :裝置 8 1 8 :底部 8 2 0 :邊牆 901 :晶圓4 1 6: Wafer 5 02: Vacuum cup 5 0 6: End effector 5 14: Device 602: Vacuum cup 604: Mushroom-shaped cover 606: End effector 702: Vacuum cup 704: Mushroom-shaped cover -54- (48 200402821 706: end effector 714: device 8 1 8: bottom 8 2 0: side wall 901: wafer

9〇2 :半球型蓋子 904 :淸潔室視窗 906 :圓筒蓋子 908 :洩漏感測器 9 1 0 :油滴盤排管 912 :基塊 9 1 4 :油滴盤夾鉗 9 1 6 :油滴盤 9 1 8 :底部室90: Hemispherical cover 904: Clean room window 906: Cylinder cover 908: Leak sensor 9 1 0: Oil drip tray drain tube 912: Base 9 1 4: Oil drip tray clamp 9 1 6: Oil drip tray 9 1 8: bottom chamber

9 20 :夾頭馬達組件線路斷流器 922 : DI水噴頭(後面) 926 : DI水噴頭(上面) 924 :氮氣噴頭(後面) 92 8 :氮氣噴頭(上面) 930 :邊緣淸潔組件 93 2 :光學感測器 934 :晶圓前面化學藥劑噴嘴 9 3 6 :夾頭 9 3 8 :排放盤 -55- (49)200402821 940 :上部室 942 :排氣和排水管 944 :氮氣縣 946 :邊緣淸潔蓋 948 :晶圓後面化學藥劑噴嘴 950 :夾頭馬達組件 1 004 :邊緣區域9 20: Chuck motor assembly circuit breaker 922: DI water nozzle (rear) 926: DI water nozzle (upper) 924: Nitrogen nozzle (rear) 92 8: Nitrogen nozzle (upper) 930: Edge cleaning assembly 93 2 : Optical sensor 934: Chemical nozzle in front of wafer 9 3 6: Chuck 9 3 8: Drain tray -55- (49) 200402821 940: Upper chamber 942: Exhaust and drainage pipe 944: Nitrogen county 946: Edge Clean cover 948: Chemical nozzle behind wafer 950: Chuck motor assembly 1 004: Edge area

1 006 : DI 水管 1 0 1 0 :桿子 1 008 :接合桿子 1 0 1 2 :托架 1 0 1 4 :鏍釘 1016 :空氣管圓筒 1 0 1 8 :可調式鏍釘 1 0 2 0 :流量調節器1 006: DI water pipe 1 0 1 0: Rod 1 008: Join pole 1 0 1 2: Bracket 1 0 1 4: Pin 1016: Air tube cylinder 1 0 1 8: Adjustable pin 1 0 2 0: Flow regulator

1 022 :壓縮空氣管 1 024 :桿子夾鉗 1 026 :酸管 1 028 :氮氣管 1 030 :噴嘴頭 1 032 :桿刷 1 034 :氮氣噴嘴 1 034h :水平跨度 1 036 :液體噴嘴 •56- (50) 2004028211 022: Compressed air tube 1 024: Rod clamp 1 026: Acid tube 1 028: Nitrogen tube 1 030: Nozzle head 1 032: Rod brush 1 034: Nitrogen nozzle 1 034h: Horizontal span 1 036: Liquid nozzle (50) 200402821

1 102 :氮氣幕狀物 1 104 :噴射液體 1 202 :上馬達盤 1 204 :光學感測器 1 206 :軸套管 1 2 0 8 :馬達 1 2 1 0 :旗狀物 1 2 1 2 :隔片 1 2 1 4 :離心物軸 1 2 1 6 :離心物 1218 :插座 1220 :基底 1 222 :定位器 1 3 0 2 :內盤 1304 :外盤 1 3 0 6 :托架 1 3 0 8 :流量控制器 1310 :圓筒 1 3 1 2 :限制感側器 1 402 :接合管 1 404 :接合〇環 1 406 :反射感測器 1 4 0 8 :桿套 1 4 1 0 :人造橡皮〇環 -57- (51) 2004028211 102: Nitrogen curtain 1 104: Spray liquid 1 202: Upper motor plate 1 204: Optical sensor 1 206: Shaft sleeve 1 2 0 8: Motor 1 2 1 0: Flag 1 2 1 2: Separator 1 2 1 4: Centrifuge shaft 1 2 1 6: Centrifuge 1218: Socket 1220: Base 1 222: Positioner 1 3 0 2: Inner plate 1304: Outer plate 1 3 0 6: Bracket 1 3 0 8: Flow controller 1310: cylinder 1 3 1 2: limit sensor 1 402: joint tube 1 404: joint 0 ring 1 406: reflection sensor 1 4 0 8: rod cover 1 4 1 0: artificial rubber ring -57- (51) 200402821

1 4 1 2 :桿套輪緣 1801 :晶圓 1 802 :活動管套 1 804 :磁性連接器 1806 :軸 1 808 :托架軸 1 8 1 0 :擋泥板 1812 :管 1 8 1 4 :室托盤 1816 :底室1 4 1 2: lever sleeve rim 1801: wafer 1 802: movable tube sleeve 1 804: magnetic connector 1806: shaft 1 808: bracket shaft 1 8 1 0: fender 1812: tube 1 8 1 4: Chamber tray 1816: bottom chamber

1 8 1 8 :光學感測器用輸送道 1 820 :插頭 1 822 :處理室 1 824 :歧管 1 8 2 6:噴嘴盤 1 828 :末端點偵測器 1 8 3 0:噴嘴塊 1 8 3 2 :側盤 1 8 3 4 :室視窗 1 836 :半月室 1 8 3 8 :門墊塊 1 840 :視窗圓筒 1 902 : X光旗狀物 1 904 : X軸驅動組件 -58- (52)200402821 1 906 :連接器 1 9 0 8 :馬達 1 9 1 0 : z軸托架 1 9 1 2 : (9驅動皮帶和皮帶輪 1 9 1 4 : 0 y軸反射感測器 1 9 1 6 : X軸感測器 1 9 1 8 : 0托架1 8 1 8: Optical sensor conveyor 1 820: Plug 1 822: Processing chamber 1 824: Manifold 1 8 2 6: Nozzle plate 1 828: End point detector 1 8 3 0: Nozzle block 1 8 3 2: Side plate 1 8 3 4: Room window 1 836: Half moon room 1 8 3 8: Door pad 1 840: Window cylinder 1 902: X-ray flag 1 904: X-axis drive unit-58- (52 200402821 1 906: Connector 1 9 0 8: Motor 1 9 1 0: Z-axis bracket 1 9 1 2: (9 Drive belt and pulley 1 9 1 4: 0 Y-axis reflection sensor 1 9 1 6: X axis sensor 1 9 1 8: 0 bracket

1 920 : z軸萬相球接頭 1 9 2 2 : z軸檯組件 1 924 : z方向移動托架 1 9 2 6 : 0馬達 1 9 2 8 : 0驅動皮帶輪 1 9 3 0 :夾頭組件 1 9 3 2 :回蓋遮罩組件 1 9 3 4 : X軸線性軸承1 920: z-axis universal ball joint 1 9 2 2: z-axis stage assembly 1 924: z-direction moving bracket 1 9 2 6: 0 motor 1 9 2 8: 0 drive pulley 1 9 3 0: chuck assembly 1 9 3 2: Cover cover assembly 1 9 3 4: X-axis linear bearing

1 9 3 6 : y軸調用整指旋螺釘 1 9 3 8 : z軸盤 1 9 4 0 :頂遮罩 1 9 4 2 : z軸線性軸承 1944 :軸 1 946 : X軸磁鐵 1 948 :磁性分離盤 1 950 : y軸角架 1 95 2 :磁鐵 -59- (53) (53)200402821 1 954 :磁鐵托架 2004 :金屬膜 2006 :噴頭 2054 :噴嘴 2080 :增強能源單元 208 1 :電解液 2102 :半導體晶圓 2104 :半月室 2106 :固定罩 2108 :電鍍噴頭組件 2 1 1 0 :排氣管 2 1 1 2 :液體進口 2 1 1 4 :電解液 2 1 1 6 :液體 2 1 1 8 :室托盤 2 120 :底室視窗 2122 :底室 2 124 :處理室 2126 :室視窗 2 1 3 0 :上蓋組件 2 1 3 2 :液體進口管 2 1 3 4 :電極纜線 2136 :軸 2 2 0 2 :外通道環 (54) (54)200402821 2204 :噴頭頂 2206 :噴頭 2302 :外通道環 2304 : 3 00mm 噴頭頂 2402 :外通道環 2404 : 200mm 噴頭頂 2 5 0 2:電極環套 2504 :螺帽 2506:電極連接器 2508 :電極外連接器 25 10 :小入口外傾裝置 25 12 :入口外傾裝置 2 5 1 4 :電鍍濾心塊 25 16 :噴頭基底 2518 :濾心墊片 2520 :鍍濾心環套 2522 :洞 2524 : □ 2530 : 〇 環 2602 :晶圓 2604 :整平工具 2606 :感測器 2 6 0 8 :支柱 2 6 1 0 :接地線 (55) 200402821 2 6 1 2 :信號線 2614 :控制系統 2 6 1 6 :夾頭 2 6 1 8 :固定螺絲 2620 :針調節器 2622 :接點螺絲 2624 :針 2626 :支架 5 200 :側入口1 9 3 6: y-axis call thumb screw 1 9 3 8: z-axis disk 1 9 4 0: top cover 1 9 4 2: z-axis linear bearing 1944: shaft 1 946: x-axis magnet 1 948: magnetic Separation disc 1 950: y-axis angle frame 1 95 2: Magnet-59- (53) (53) 200402821 1 954: Magnet bracket 2004: Metal film 2006: Nozzle 2054: Nozzle 2080: Enhanced energy unit 208 1: Electrolyte 2102: Semiconductor wafer 2104: Half-moon chamber 2106: Fixed cover 2108: Plating nozzle assembly 2 1 1 0: Exhaust pipe 2 1 1 2: Liquid inlet 2 1 1 4: Electrolyte 2 1 1 6: Liquid 2 1 1 8 : Chamber tray 2 120: bottom chamber window 2122: bottom chamber 2 124: processing chamber 2126: chamber window 2 1 3 0: lid assembly 2 1 3 2: liquid inlet tube 2 1 3 4: electrode cable 2136: shaft 2 2 0 2: Outer channel ring (54) (54) 200402821 2204: Nozzle top 2206: Nozzle 2302: Outer channel ring 2304: 3 00mm Nozzle top 2402: Outer channel ring 2404: 200mm Nozzle top 2 5 0 2: Electrode ring cover 2504 : Nut 2506: Electrode connector 2508: Outer electrode connector 25 10: Small entrance camber device 25 12: Entrance camber device 2 5 1 4: Electroplated filter block 25 16: Nozzle base 2518: Filter washer 2520 : Plating filter Ring 2522: Hole 2524: □ 2530: 〇 Ring 2602: Wafer 2604: Leveling tool 2606: Sensor 2 6 0 8: Pillar 2 6 1 0: Ground wire (55) 200402821 2 6 1 2: Signal wire 2614: control system 2 6 1 6: chuck 2 6 1 8: fixing screw 2620: pin adjuster 2622: contact screw 2624: pin 2626: bracket 5 200: side entrance

Claims (1)

(1) (1)200402821 拾、申請專利範圍 1· 一種處理一個或更多個半導體晶圓的裝置,包含: 一儲存一個晶圓的模組; 數個垂直堆疊處理模組,其目的爲電拋光該晶圓和電 鍍該晶圓之中至少一個; 一淸潔模組;和 一機器人其目的爲在該儲存用模組,該處理模組,和 該淸潔模組之間傳輸, 其中該裝置被分成至少兩個具有分開架構之特徵的區 域。 2 ·如專利申請範圍第1項_之裝置,更近一步的包括 一種在處理之前用來校正該晶圓的先前校正模組。 3. 如專利申請範圍第1項之裝置,其中該機器人包 括一個或更多個用來拿起或傳輸該晶圓的末端受動器。 4. 如專利申請範圍第1項之裝置,其中該機器人可 以藉著從至少兩個區域之一滾出或滑出來移動。 5. 如專利申請範圍第1項之裝置,其中該機器人包 括, 一第一末端受動器其目的爲傳輸該晶圓到處理模組, 以及一種第二末端受動器其目的爲從處理模組傳輸該晶圓 〇 6. 如專利申請範圍第1項之裝置,更近一步的包括 一液體輸送系統其目的爲輸送處理液到處理模組。 7. 如專利申請範圍第6項之裝置,其中該液體輸送 -63- (2) (2)200402821 系統包括一浪湧消除器。 8. 如專利申請範圍第6項之裝置,其中該液體輸送 系統包括一用來調整該處理液流速的控制器。 9. 如專利申請範圍第6項之裝置,其中該液體輸送 系統被儲存在一密封托盤。 10·如專利申請範圍第1項之裝置,其中該裝置包括 一用來從處理模組移除氣體的排氣管。 1 1. 一種在處理模組中爲電拋光和電鍍一個晶圓中至 少一個的方法,包括: 利用一第一末端受動器來傳輸一個晶圓到數個垂直堆 疊處理模組中之一; 電拋光或電鍍在處理模組中的該晶圓; 利用一第二末端受動器從處理模組傳送該晶圓到一淸 潔模組;以及 在該淸潔模組中淸潔該晶圓,其中該處理模組被分成 至少兩個具有分開架構之特徵的區域。 12. 如專利申請範圍第1 1項之方法,更近一步的包 括在傳輸該晶圓中利用一機器人,並且其中該機器人被安 裝來滑出或滾出該處理組件。 13. 如專利申請範圍第11項之方法,更近一步的包 括經由一供應線來輸送液體到該處理模組,其中一浪湧消 除器與該供應線結合。 14·如專利申請朝圍第1 1項之方法,更近一步的包 括經由一排氣系統從該處理模組移除氣體。 -64- (3) (3)200402821 1 5. —種用來抓住一個半導體晶圓之裝置,包含: 一個洞,位於一種末端受動器部分的一邊; 一連接到這個洞的通道,其目的爲從這個洞排出氣體 以及當氣體從這個洞排出時,一繞著這個孔配置的杯 被安裝來製造一在該末端受動器和該晶圓之間的暫時密閉 〇 16.如專利申請範圍第1 5項之裝置,更近一步的包 括一具有在該形成一溝槽的罩,該杯被配置在這個洞之上 〇 1 7 .如專利申請範圍第1 6項之裝置’其中該罩被做 成圓形。 18. 如專利申請範圍第1 5項之裝置’更近一步的包 括兩個或更多個連接到該真空通道@洞1 ° 19. 如專利申請範圍第15項之裝置’更近一步的包 括兩個或更多個連接到該真空通道的洞1 ’並且在單一杯之 內。 20. 如專利申請範圍第1 5項之裝置’其中該杯包括 一有彈性的物質。 2 1.如專利申請範圍第1 5項之裝置’其中該杯包括 一彈性體物質。 22. 如專利申請範圍第1 5項之裝置’其中該杯從該 末端受動器部分的表面延伸。 23. 如專利申請範圍第1 5項之裝置’其中該杯被做 -65 - (4) (4)200402821 成圓形。 24·如專利申請範圍第1 5項之裝置,其中該杯被做 成伸長圓形。 25 ·如專利申請範圍第1 5項之裝置,其中該杯被做 成馬蹄鐵形。 26.如專利申請範圍第1 5項之裝置,其中該末端受 動器被機械式的連接到一機器人,並且該杯被配置在該末 端受動器的一末端。 27 ·如專利申請範圍第1 5項之裝置,其中該末端受 動器的一末端是馬蹄形。 28.如專利申請範圍第15項之裝置,其中該真空通 道與末端受動器的本體一體成形。 29·如專利申請範圍第15項之裝置,其中該真空通 道連接到真空源。 30·如專利申請範圍第29項之裝置,其中該真空通 道近一步的連接到氣體源來將氣體導入真空通道。 3 1 · —種抓住一半導體晶圓的方法,包含: 把一個末5而受動器放在接近一晶圓主表面的位置;排 货配置在該末端受動器主表面上的彈性杯,該末端受動器 面對該晶圓的主表面;並且製造一真空杯和晶圓間的暫時 密閉。 32.如專利申請範圍第3 1項之方法,其中該彈性杯 與該晶圓的上主表面鄰近並且被充分的排空來抓住該晶圓 以對抗地心引力。 -66- (5) (5)200402821 33. 如專利申請範圍第3 1項之方法,其中該彈性杯 與該晶圓的下主表面鄰近並且被排空到達相對於周遭環境 較低的壓力。 34. 如專利申請範圍第3 1項之方法,其中該彈性杯 爲圓形。 35. 如專利申請範圍第3 1項之方法,更近一步的包 括將氣體導入彈性杯來釋放晶圓。 36. 如專利申請範圍第3 1項之方法,其中該彈性杯 經由該凹處形成的洞來排空。 37. 如專利申請範圍第3 1項之方法,其中該彈性杯 包括一配置在該洞上的罩,該罩具有在此形成的一溝槽。 38· —種用來淸潔一半導體晶圓之裝置,包含: 一晶圓邊緣淸潔組件包括一種噴嘴頭,其被裝配來供 應一液體合一氣體到晶圓主表面,其中, 該液體被供應到接近晶圓主表面的外緣, 以及 該氣體放射狀的向內供應到液體被供應的位置。 39. 如專利申請範圍第38項之裝置,其中該氣體和 液體從鄰近的噴嘴供應。 40. 如專利申請範圍第38項之裝置,其中該氣體是 氮氣以及該液體包括一金屬蝕刻化學藥劑。 小1.如專利申請範圍第3 8項之裝置,其中該噴嘴被 裝置來供應該氣體以防止該液體放射狀的向內擴散在該晶 圓的主表面。 -67- (6) (6)200402821 42. 如專利申請範圍第38項之裝置’其中該噴嘴被 裝置來供應氣簾形式的氣體以防止液體穿越該氣體。 43. 如專利申請範圍第38項之裝置’其中該噴嘴包 括一與晶圓主表面平行的水平跨度來製造一在水平跨度和 面對的晶圓主表面之間的氣體屏障。 44. 如專利申請範圍第43項之裝置,其中在水平跨 度和面對的晶圓主表面之間的距離大約是〇. 1mm到2.0mm 〇 45. 如專利申請範圍第43項之裝置,其中在水平跨 度和面對的晶圓主表面之間的距離大約是1.5 mm。 46. 如專利申請範圍第38項之裝置,更近一步的包 括一夾頭來旋轉接近該噴嘴的該晶圓。 47. 如專利申請範圍第46項之裝置,其中該夾頭組 件包括當夾頭旋轉時被裝置來穩固該晶圓的定位器。 48. 如專利申請範圍第47項之裝置,其中該定位器 包括一第一部分和一種機械連接的第二部分,並且該第一 部分的質量比該第二部分大,如此在旋轉期間該第一部分 向外移動和該第二部分向內移動來穩固該晶圓。 49. 如專利申請範圍第48項之裝置,其中該定位器 包括一旋轉軸,並且該第一部分被放置在旋轉軸之下和該 第二部分被放置在旋轉軸之上。 5 0 · —種淸潔半導體晶圓的方法,包含: 一邊緣淸潔處理包括, 繞著一中心軸旋轉一個晶圓; -68- (7) (7)200402821 引導一流體到該晶圓的主表面;以及 放射狀的向內引導一氣體到該晶圓主表面位於接近倉虫 刻液體被導引的位置。 5 1.如專利申請範圍第5 0項之方法’其中該氣體降 低流體放射狀的向內流到半導體上面的可能性° 52. 如專利申請範圍第5 0項之方法’其中該氣體和 液體同時被供應。 53. 如專利申請範圍第5 0項之方法,其中該氣體在 導入流體到晶圓的處理期間和之前被導入。 54. 如專利申請範圍第50項之方法,其中該氣體在 導入流體到晶圓的處理期間和之後被導入。 55. 如專利申請範圍第50項之方法,其中該氣體包 括氮氣和該液體包括一金屬蝕刻化學藥劑。 56. 如專利申請範圍第50項之方法,其中該液體被 供應到晶圓主表面上一斜面區域。 57. 如專利申請範圍第56項之方法,其中該氣體被 供應到該斜面的放射狀內緣。 58. 如專利申請範圍第50項之方法,其中該氣體被 供應到接近該液體被供應區域的區域,該區域具有放射方 向的寬度和周圍方向的長度來降低液體放射狀的向內流上 晶圓的可能性。 5 9·如專利申請範圍第5 0項之方法,其中該夾頭在 邊緣淸潔處理期間以大約50rpm到500rpm的轉速旋轉該 (8) (8)200402821 60·如專利申請範圍第50項之方法,其中該夾頭在 邊緣淸潔處理期間以350rpm的轉速旋轉該晶圓。 61·如專利申請範圍第50項之方法,更近一步的包 括供應DI水到晶圓的兩個主表面。 62·如專利申請範圍第50項之方法,更近一步的包 括藉由以大約lOOOrpm到3000rpm的轉速旋轉該晶圓和供 應氣流到該晶圓的主表面來弄乾該晶圓。 63·如專利申請範圍第50項之方法,更近一步的包 括在震盪該晶圓的三分之一期間引導一液體到該晶圓的背 面如此該液體才不會直接接觸抓住該晶圓的定位器。 64. 如專利申請範圍第50項之方法,更近一步的包 括脈衝式的引導一液體到該晶圓的背面如此該液體才不會 直接接觸抓住該晶圓的定位器。 65. 如專利申請範圍第50項之方法,更近一步的包 括以一足夠的加速度來旋轉一個夾頭,如此該晶圓相對該 夾頭的移動並且重複一種淸潔處理。 6 6. —種用來決定一個晶圓在夾頭上的位置之方法, 包含: 旋轉一個定位在一夾頭上的晶圓; 當晶圓被旋轉時藉著一感測器來測量該晶圓主表面的 一特性;並且 根據測量的特性來決定該晶圓是否被正確的放置。 67.如專利申請範圍第66項之方法,其中該感測器 是一光學感測器,其測量從該晶圓表面來的光反射。 -70- (9) (9)200402821 68. 如專利申請範圍第66項之方法,其中如果該反 射在一門檻値之下變動,則決定該晶_沒有被正確的放置 在夾頭上。 69. 如專利申請範圍第66項之方法,其中該感測器 是一接近感測器’其測量該感測器和該晶圓表面之間的距 離。 70. 如專利申請範圍第66項之方法,其中該感測器 是一聲感測器。 71. 如專利申請範圍第66項之方法,其中該感測器 是一渦流感測器。 7 2. —種處理室其目的爲一半導體晶圓的一電拋光處 理或一電鍍處理,包含: 一夾頭組件用來定位一個晶圓面對一處理噴嘴,其被 裝置來分配一處理液體到該晶圓的一主表面,其中當處理 一晶圓時該夾頭組件轉移到相對該處理噴嘴的一第一方向 ;以及 一遮罩機械式的連接到夾頭組件如此該遮罩與該夾頭 組件一起轉移。 73. 如專利申請範圍第72項之裝置,其中該遮罩被 磁性的連接到該夾頭組件。 74. 如專利申請範圍第72項之裝置,其中該夾頭組 件轉移到與該第一方向垂直的一第二方向來調整該液體被 分配在該晶圓的位置。 7 5 .如專利申請範圍第7 2項之裝置,其中在一種電 -71 - (10) (10)200402821 拋光處理期間該夾頭組件定位該晶圓的主表面距離該噴嘴 0.5 m m 到 1 0 m m 〇 7 6.如專利申請範圍第75項之裝置,其中該距離大 約是 5mm 0 7 7.如專利申請範圍第7 2項之裝置’其中在一電拋 光處理期間該夾頭組件定位該晶圓的主表面距離該噴嘴 0.5mm 到 20mm 〇 7 8.如專利申請範圍第7 7項之裝置’其中該距離大 約是 5nm。 7 9 .如專利申請範圍第7 2項之裝置,更進一步的包 括一光學感測器和一種末端點偵測器,其被裝置來測量該 晶圓主表面上的一金屬層。 80.如專利申請範圍第72項之裝置,其中該夾頭組 件與該處理室磁性的連接起來。 8 1.如專利申請範圍第80項之裝置,其中該夾頭組 件可以與處理室脫離。 8 2. —種電鍍或電拋光裝置’包含: 一噴嘴用來導引一處理液體流’ 一能源元件被裝置來加強在一金屬膜表面上的處理液 的震動。 83. 如專利申請範圍第82項之裝置,其中該能源元 件被磁性的連接到該噴頭。 84. 如專利申請範圍第82項之裝置,其中該能源元 件包括超音波變換器,magnasonic變換器,雷射源,紅外 (11) (11)200402821 線熱源,微波源,和磁能源中至少一種。 85. 如專利申請範圍第82項之裝置,其中該能源元 件包括一裝置在15KHz到lOOMega Hz的範圍中操作的超 音波變換器。 86. 如專利申請範圍第82項之裝置,其中該能源元 件包括一裝置在1到1 〇〇w/cm2的範圍中操作的雷射,其 中該雷射被引導到一個晶圓上一金屬膜的一個表面。 87. 如專利申請範圍第82項之裝置,更進一步的包 括藉著利用一種雷射激出的超音波來決定該金屬膜厚度。 88. 如專利申請範圍第82項之裝置,其中該能源元 件包括一裝置在1到l〇〇W/cm2的範圍中操作的紅外線源 ,其中該紅外線源被引導到一個晶圓上一金屬膜的一個表 面。 89. 如專利申請範圍第 82項之裝置,更進一步的包 括一紅外線感測器用來測量該金屬膜表面的該表面溫度。 90. 如專利申請範圍第82項之裝置,其中該能源元 件包括一磁性源其被裝置來集中一種電流在一個晶圓金屬 膜上的處理流體。 91. 一種電拋光或電鍍在一半導體晶圓上的一金屬膜 的方法,包含的行爲: 旋轉抓住一晶圓的一個晶圓夾頭; 引導一種處理液體流到一晶圓表面上的一金屬層; 轉移有關處理液體流的該晶圓;以及 轉移與該晶圓在一起的一種遮罩,其中該遮罩和晶圓 •73- (12) (12)200402821 夾頭被機械式的連接。 92. 如專利申請範圍第91項之方法,其中該遮罩和 晶圓夾頭被機械式的連接而且可以分開。 93. 如專利申請範圍第91項之方法,其中該晶圓被 轉移到與該晶圓主表面平行的方向並且以一種定線性速度 被旋轉。 94. 如專利申請範圍第91項之方法,更進一步的包 括藉由一末端點偵測器來測量該金屬層的反射,並且產生 一金屬膜厚度數據。 95. 如專利申請範圍第91項之方法,更進一步的包 括根據一決定的金屬膜厚度數據來調整流量。 96. 如專利申請範圍第91項之方法,其中一電拋光 處理包括 a )決定在晶圓上一金屬膜想要的厚度, b )移除在晶圓上的一部分金屬膜, c )測量該金屬膜的厚度,以及 d)如果該金屬膜的厚度比想要的厚度大重複b) ,c )和d )直到想要的厚度被測量到。 9 7.如專利申請範圍第9 6項之方法,其中該金屬膜 藉由一末端點偵測器來被測量。 98. 如專利申請範圍第96項之方法,其中該金屬膜 厚度藉由測量一超音波來決定,該超音波是由導引一雷 射到金屬膜所產生的。 99. 如專利申請範圍第96項之方法,更進一步的包 -74- (13) (13)200402821 括電鍍該晶圓,如果在c)中被決定的是金屬膜厚度太薄 〇 1 〇〇·如專利申請範圍第9 1項之方法,其中在一種電 拋光處理之中,該夾頭的旋轉速度隨著在該晶圓和與該晶 圓主表面平行的一個噴嘴之間的一線性游移距離而改變。 1 ο 1 ·如專利申請範圍第91項之方法,其中在一電拋 光處理之中,該夾頭的旋轉速度隨著一電拋光處理液的流 密度而改變。 102·如專利申請範圍第91項之方法,其中在一電拋 光處理之中,該夾頭的旋轉速度隨著該測量出來的金屬膜 厚度數據,該想要的厚度數據,和該晶圓被拋光的位置而 改變。 1 03 ·如專利申請範圍第9 1項之方法,其中該夾頭以 一定線性速度模式被旋轉。 1 04 ·如專利申請範圍第9 1項之方法,其中該夾頭以 一定旋轉模式被旋轉。 1 05 ·如專利申請範圍第9 1項之方法,其中該夾頭以 一定離心力模式被旋轉。 106. —種電鍍一晶圓之裝置,包含: 一種噴頭用來分配一種處理液體,包括: 一接收該處理液體的進口, 一通道與該進口結合並且被配置在該進口和多數的孔 之間,以及 一濾心元件,其中該濾心元件包括該濾心元件被配置 -75- (14) (14)200402821 在該通道來散佈該處理液進入該進口貫穿該通道而且從多 數孔均勻的流動。 I 07 .如專利申請範圍第1 06項之裝置,更進一步的 包括配置在多數進口和多數孔之間的多數通道而且至少一 進口與每一通道結合,以及多數的濾心元件其目的爲散佈 該處理液來貫穿每一通道。 108. 如專利申請範圍第106項之裝置,其中該濾心 元件被配置面對該進口。 109. 如專利申請範圍第106項之裝置,其中該濾心 元件是一被配置面對該進口的阻擋板。 II 〇 ·如專利申請範圍第1 〇 6項之裝置,其中該噴頭 爲了一個300mm晶圓或一個200mm晶圓被裝配。 1 1 1 ·如專利申請範圍第1 0 6項之裝置,更進一步的 包括電極環套其被配置在接近該多數孔和該通道表面的位 置。 112. 如專利申請範圍第1 1 1項之裝置,其中該電極 環套包括抗腐飽金屬或合金。 113. 如專利申請範圍第11 1項之裝置,更進一步的 包括一噴嘴頭其具有被定位在該噴頭電擊環套上的多數噴 嘴孔。 1 1 4 ·如專利申請範圍第1 〇 2項之裝置,其中該多數 噴嘴孔是有關該多數孔的補償。 115. —種電鑛一半導體晶圓之方法,包含的行爲: 經由在一通道中的一進口來接收處理液,其中該通道 -76- (15) (15)200402821 包括用來分配該處理液的多數孔;並且經由貫穿該通道的 該進口散佈接收到的該處理液,以便均勻的通過該多數孔 〇 116.如專利申請範圍第115項之方法,更進一步的 包括接收被配置在多數進口和多數孔之間的多數通道的一 處理液而且至少一進口與每一通道結合,以及散佈貫穿每 一通道所接收到的該處理液。 117·如專利申請範圍第115項之方法,其中該處理 液爲電解液。 1 1 8 ·如專利申請範圍第1 1 5項之方法,其中該處理 液藉著被配置在該進口對面的一濾心元件來散佈。 1 1 9 ·如專利申請範圍第1 1 8項之方法,其中該濾、心 元件是一阻擋板。 1 20·如專利申請範圍第1 1 5項之方法,更進一步的 包括電鍍一個300mm晶圓或一 200mm晶圓。 1 2 1 ·如專利申請範圍第1 1 5項之方法,更進一步的 包括在該處理液已經從該多數孔分佈之後在電擊環套上通 過該處理液。 122·如專利申請範圍第121項之裝置,其中該電極 環套包括抗腐蝕金屬或合金。 123. 如專利申請範圍第121項之裝置,更進一步的 包括將處理液通過一包含多數噴嘴孔的噴嘴頭,該噴嘴頭 位在該電擊環套之上。 124. 如專利申請範圍第123項之裝置,更進一步的 (16) (16)200402821 包括爲有關該多數孔的多數噴嘴孔裝支管。 125. 如專利申請範圍第123項之裝置,其中該處理 液體流藉著該濾心元件被散佈在一通道之內,從在該電擊 環套之後的多數孔均勻的流出,以及通過該噴嘴孔到一晶 圓的表面。 126. —種裝置其目的爲整平在一處理裝置中的一半 導體晶圓,包含: 大體上定位在一平面上的三個感測器;和 一夾頭其被裝配來抓住一晶圓面對該三個感測器,其 中該三個感測器被裝配來測量該晶圓表面相對該感測器的 距離。 127. 如專利申請範圍第126項之裝置,其中該平面 與該處理裝置的一部分平行。 128. 如專利申請範圍第126項之裝置,其中該平面 與一處理噴嘴結合。 1 29 .如專利申請範圍第1 26項之裝置,其中該感測 器包括一有導電性的針,該針與一條連接到該感測器的信 號線,該晶圓主表面上的金屬層,和一條連接到晶圓的接 地線來完成一個迴路。 13 0.如專利申請範圍第129項之裝置,更進一步的 包括一控制系統其目的爲根據當該迴路完成時所產生的信 號來測量該晶圓的偏移距離。 1 3 1.如專利申請範圍第1 3 0項之裝置’其中該控制 系統根據該距離測量値來調整該夾頭。 -78- (17) (17)200402821 1 3 2 · —種整平在一處理裝置中的一晶圓之方法,包 含: 決定一晶圓的一要求校正平面; 決定一晶圓的位置在相對該晶圓要求校正平面的三個 位置;以及 根據已決定的該晶圓和要求校正平面的位置來調整該 晶圓。 13 3.如專利申請範圍第132項之方法,其中該平面 與該處理裝置的一部分平行。 134.如專利申請範圍第132項之方法,其中該平面 與該處理噴嘴結合。 13 5.如專利申請範圍第132項之方法,其中決定的 該晶圓的該位置包括利用三個感測器所測量的距離,每一 具有一有導電性的針,該針與一條連接到該感測器的信號 線,該晶圓主表面上的金屬層,和一條連接到晶圓金屬層 的接地線來完成一迴路。 13 6.如專利申請範圍第135項之方法,其中一控制 系統根據當該迴路完成時所產生的信號來測量該晶圓的偏 移距離。 13 7.如專利申請範圍第136項之裝置,其中調整該 晶圓包括根據該距離測量値來移動一抓住該晶圓的夾頭。 -79-(1) (1) 200402821 Pickup, patent application scope 1. A device for processing one or more semiconductor wafers, including: a module storing one wafer; several vertically stacked processing modules, the purpose of which is to Polishing at least one of the wafer and electroplating the wafer; a cleaning module; and a robot whose purpose is to transfer between the storage module, the processing module, and the cleaning module, wherein the The device is divided into at least two regions with the characteristics of a separate architecture. 2 The device as described in item 1 of the patent application scope, further comprising a previous calibration module used to calibrate the wafer prior to processing. 3. The device according to item 1 of the patent application scope, wherein the robot includes one or more end effectors for picking up or transferring the wafer. 4. The device according to item 1 of the patent application scope, wherein the robot can be moved by rolling out or sliding out of at least two areas. 5. The device of the scope of patent application item 1, wherein the robot includes a first end effector for transferring the wafer to the processing module, and a second end effector for transferring from the processing module The wafer 〇. The device according to the first item of the scope of the patent application, further includes a liquid transfer system whose purpose is to transfer the processing liquid to the processing module. 7. The device according to item 6 of the patent application scope, wherein the liquid conveying -63- (2) (2) 200402821 system includes a surge suppressor. 8. The device according to item 6 of the patent application, wherein the liquid delivery system includes a controller for adjusting the flow rate of the treatment liquid. 9. The device according to item 6 of the patent application, wherein the liquid delivery system is stored in a sealed tray. 10. The device according to the scope of patent application item 1, wherein the device includes an exhaust pipe for removing gas from the processing module. 1 1. A method of electropolishing and plating at least one of a wafer in a processing module, comprising: using a first end effector to transfer a wafer to one of a plurality of vertically stacked processing modules; Polishing or plating the wafer in the processing module; using a second end effector to transfer the wafer from the processing module to a cleaning module; and cleaning the wafer in the cleaning module, wherein The processing module is divided into at least two regions having the characteristics of a separate architecture. 12. A method as described in item 11 of the patent application scope, which further includes using a robot in transferring the wafer, and wherein the robot is mounted to slide out or roll out of the processing assembly. 13. The method according to item 11 of the patent application scope, which includes a further step of transferring liquid to the processing module via a supply line, wherein a surge suppressor is integrated with the supply line. 14. The method of claim 11 in the patent application, which further includes removing gas from the processing module via an exhaust system. -64- (3) (3) 200402821 1 5. —A device for grasping a semiconductor wafer, comprising: a hole on one side of an end effector part; a channel connected to the hole, its purpose To expel gas from the hole and when the gas is expelled from the hole, a cup configured around the hole is installed to make a temporary seal between the end effector and the wafer. 16 The device of item 15 further includes a cover having a groove formed thereon, and the cup is arranged above the hole. The device of item 16 in the scope of patent application 'where the cover is Make it round. 18. A device as described in item 15 of the patent application 'one step closer includes two or more connected to the vacuum channel @ 洞 1 ° 19. A device as described in item 15 of the patent application' one step closer to including Two or more holes 1 'connected to the vacuum channel and within a single cup. 20. The device of item 15 of the patent application, wherein the cup comprises a flexible substance. 2 1. The device of item 15 of the scope of patent application, wherein the cup comprises an elastomeric substance. 22. The device of the scope of patent application item 15 wherein the cup extends from the surface of the end effector portion. 23. The device according to item 15 of the scope of patent application, wherein the cup is made into a circle -65-(4) (4) 200402821. 24. The device according to item 15 of the scope of patent application, wherein the cup is made into an elongated circle. 25. The device according to item 15 of the patent application, wherein the cup is formed in a horseshoe shape. 26. The device according to item 15 of the patent application scope, wherein the end effector is mechanically connected to a robot, and the cup is arranged at an end of the end effector. 27. The device according to item 15 of the patent application, wherein one end of the end effector is horseshoe-shaped. 28. The device according to item 15 of the patent application, wherein the vacuum channel is integrally formed with the body of the end effector. 29. The device according to the scope of patent application item 15, wherein the vacuum channel is connected to a vacuum source. 30. The device according to item 29 of the patent application, wherein the vacuum channel is further connected to a gas source to introduce a gas into the vacuum channel. 3 1 · — A method of grasping a semiconductor wafer, comprising: placing a terminal 5 and the actuator near the main surface of a wafer; discharging a flexible cup disposed on the main surface of the terminal actuator, the The end effector faces the major surface of the wafer; and a temporary seal is made between the vacuum cup and the wafer. 32. The method according to item 31 of the patent application scope, wherein the elastic cup is adjacent to the upper main surface of the wafer and is sufficiently evacuated to grasp the wafer to resist gravity. -66- (5) (5) 200402821 33. The method according to item 31 of the patent application scope, wherein the elastic cup is adjacent to the lower main surface of the wafer and is evacuated to a lower pressure relative to the surrounding environment. 34. The method according to item 31 of the patent application scope, wherein the elastic cup is circular. 35. The method of item 31 in the scope of patent application, which further includes introducing gas into the elastic cup to release the wafer. 36. The method according to item 31 of the patent application, wherein the elastic cup is emptied through a hole formed in the recess. 37. The method of claim 31, wherein the elastic cup includes a cover disposed on the hole, and the cover has a groove formed therein. 38 · An apparatus for cleaning a semiconductor wafer, comprising: A wafer edge cleaning assembly includes a nozzle head that is assembled to supply a liquid-in-gas to a main surface of the wafer, wherein the liquid is It is supplied to the outer edge near the main surface of the wafer, and the gas is supplied radially inward to the position where the liquid is supplied. 39. The device according to item 38 of the patent application, wherein the gas and liquid are supplied from an adjacent nozzle. 40. The device of claim 38, wherein the gas is nitrogen and the liquid includes a metal etching chemical. Small 1. The device according to item 38 of the scope of patent application, wherein the nozzle is supplied with the gas to prevent the liquid from radiating radially inwardly on the main surface of the crystal circle. -67- (6) (6) 200402821 42. The device of the scope of patent application item 38, wherein the nozzle is provided to supply a gas in the form of an air curtain to prevent liquid from passing through the gas. 43. The device of the scope of patent application item 38, wherein the nozzle includes a horizontal span parallel to the major surface of the wafer to create a gas barrier between the horizontal span and the facing major surface of the wafer. 44. The device according to item 43 of the patent application, wherein the distance between the horizontal span and the major surface of the facing wafer is approximately 0.1 mm to 2.0 mm. 45. The device according to item 43 of the patent application, wherein The distance between the horizontal span and the major surface of the facing wafer is approximately 1.5 mm. 46. The device of the scope of patent application item 38, further comprising a chuck for rotating the wafer close to the nozzle. 47. The device according to item 46 of the patent application, wherein the chuck assembly includes a positioner which is installed to stabilize the wafer when the chuck is rotated. 48. The device according to item 47 of the patent application, wherein the positioner includes a first part and a mechanically connected second part, and the mass of the first part is greater than that of the second part, so that during the rotation, the first part faces The outer movement and the second portion move inward to stabilize the wafer. 49. The device according to item 48 of the patent application, wherein the positioner includes a rotation axis, and the first portion is placed below the rotation axis and the second portion is placed above the rotation axis. 5 0 · A method for cleaning semiconductor wafers, including: an edge cleaning process including rotating a wafer about a central axis; -68- (7) (7) 200402821 guiding a fluid to the wafer The main surface; and radially inwardly directing a gas to the main surface of the wafer at a position close to the position where the liquid is guided. 5 1. Method according to item 50 of the patent application 'wherein the gas reduces the possibility of the fluid flowing radially inward onto the semiconductor ° 52. Method such as item 50 in the patent application' where the gas and liquid Also supplied. 53. The method of claim 50, wherein the gas is introduced during and before the process of introducing the fluid into the wafer. 54. The method of claim 50, wherein the gas is introduced during and after the process of introducing the fluid into the wafer. 55. The method of claim 50, wherein the gas includes nitrogen and the liquid includes a metal etching chemical. 56. The method of claim 50, wherein the liquid is supplied to a beveled area on the main surface of the wafer. 57. The method according to item 56 of the patent application, wherein the gas is supplied to the radial inner edge of the inclined surface. 58. A method as described in item 50 of the patent application, wherein the gas is supplied to a region close to the region to which the liquid is supplied, and the region has a width in a radial direction and a length in a peripheral direction to reduce the radial inward flow of liquid crystals. Round possibilities. 5 9 · The method according to item 50 of the scope of patent application, wherein the chuck is rotated at a speed of about 50 rpm to 500 rpm during the edge cleaning process. (8) (8) 200402821 60 A method wherein the chuck rotates the wafer at a speed of 350 rpm during an edge cleaning process. 61. The method according to item 50 of the patent application scope, which further includes supplying DI water to both major surfaces of the wafer. 62. The method according to item 50 of the patent application, which further includes drying the wafer by rotating the wafer at a speed of about 1000 rpm to 3000 rpm and supplying air to the main surface of the wafer. 63. The method of item 50 of the patent application, which further includes guiding a liquid to the back of the wafer during one-third of the wafer shaking so that the liquid does not directly touch and hold the wafer Locator. 64. The method of item 50 of the patent application, which further includes pulsed guiding a liquid to the back of the wafer so that the liquid does not directly contact the positioner holding the wafer. 65. The method of item 50 of the patent application, which further includes rotating a chuck with a sufficient acceleration so that the wafer moves relative to the chuck and repeats a cleaning process. 6 6. A method for determining the position of a wafer on the chuck, including: rotating a wafer positioned on the chuck; when the wafer is rotated, a sensor is used to measure the wafer master A characteristic of the surface; and whether the wafer is correctly placed is determined based on the measured characteristic. 67. The method of claim 66, wherein the sensor is an optical sensor that measures the reflection of light from the surface of the wafer. -70- (9) (9) 200402821 68. The method according to item 66 of the patent application, wherein if the reflection changes below a threshold, it is determined that the crystal is not correctly placed on the chuck. 69. The method of claim 66, wherein the sensor is a proximity sensor 'which measures the distance between the sensor and the surface of the wafer. 70. The method of claim 66, wherein the sensor is an acoustic sensor. 71. The method of claim 66, wherein the sensor is a vortex flu detector. 7 2. A processing chamber whose purpose is an electropolishing process or a plating process for a semiconductor wafer, including: a chuck assembly for positioning a wafer to face a processing nozzle, which is arranged to dispense a processing liquid To a major surface of the wafer, wherein the chuck assembly is transferred to a first direction relative to the processing nozzle when processing a wafer; and a mask is mechanically connected to the chuck assembly such that the mask and the The chuck assembly is transferred together. 73. The device of claim 72, wherein the mask is magnetically connected to the chuck assembly. 74. The device of claim 72, wherein the chuck assembly is moved to a second direction perpendicular to the first direction to adjust the position where the liquid is distributed on the wafer. 75. The device according to item 72 of the scope of patent application, wherein the chuck assembly positions the main surface of the wafer during the polishing process of an electro-71-(10) (10) 200402821 from the nozzle by 0.5 mm to 10 mm 〇6 6. The device according to the scope of patent application 75, wherein the distance is about 5 mm 0 7 7. The device according to the scope of patent application 72, 'wherein the chuck assembly positions the crystal The main surface of the circle is 0.5 mm to 20 mm from the nozzle. 8. The device according to item 7 of the patent application 'where the distance is about 5 nm. 79. The device according to item 72 of the patent application scope further includes an optical sensor and an end point detector, which are arranged to measure a metal layer on the main surface of the wafer. 80. The device of claim 72, wherein the chuck assembly is magnetically connected to the processing chamber. 8 1. The device according to the scope of patent application No. 80, wherein the chuck assembly can be separated from the processing chamber. 8 2. An electroplating or electropolishing device ' includes: a nozzle for directing a flow of processing liquid ' and an energy source device which is arranged to strengthen the vibration of the processing liquid on a metal film surface. 83. The device according to the scope of patent application item 82, wherein the energy element is magnetically connected to the shower head. 84. The device according to the scope of patent application item 82, wherein the energy element includes at least one of an ultrasonic transducer, a magnasonic transducer, a laser source, an infrared (11) (11) 200402821 line heat source, a microwave source, and a magnetic energy source. . 85. The device according to the scope of patent application item 82, wherein the energy element includes an ultrasonic transducer which operates in the range of 15 KHz to 100 MHz. 86. The device according to item 82 of the patent application, wherein the energy element includes a laser that operates in the range of 1 to 1000 w / cm2, wherein the laser is directed to a metal film on a wafer A surface. 87. The device of the scope of the patent application No. 82 further includes determining the thickness of the metal film by using a laser-excited ultrasonic wave. 88. The device according to item 82 of the patent application, wherein the energy element includes an infrared source that operates in a range of 1 to 100 W / cm2, wherein the infrared source is directed to a metal film on a wafer A surface. 89. The device according to item 82 of the patent application further includes an infrared sensor for measuring the surface temperature of the surface of the metal film. 90. The device according to item 82 of the patent application, wherein the energy element includes a magnetic source which is arranged to concentrate a processing fluid for a current on a wafer metal film. 91. A method of electropolishing or electroplating a metal film on a semiconductor wafer, including the acts of: rotating a wafer chuck that grips a wafer; directing a processing fluid to a wafer surface A metal layer; transferring the wafer for processing liquid flow; and transferring a mask with the wafer, wherein the mask and the wafer are mechanically connected. 73- (12) (12) 200402821 . 92. The method of claim 91, wherein the mask and the wafer chuck are mechanically connected and can be separated. 93. The method of claim 91, wherein the wafer is transferred to a direction parallel to the main surface of the wafer and is rotated at a constant linear velocity. 94. The method according to item 91 of the patent application scope further comprises measuring the reflection of the metal layer by an end point detector and generating data of the thickness of the metal film. 95. The method of item 91 of the patent application scope further includes adjusting the flow rate based on a determined metal film thickness data. 96. The method of item 91 of the patent application, wherein an electropolishing process includes a) determining the desired thickness of a metal film on the wafer, b) removing a portion of the metal film on the wafer, and c) measuring the The thickness of the metal film, and d) If the thickness of the metal film is larger than the desired thickness, repeat b), c) and d) until the desired thickness is measured. 9 7. The method according to item 96 of the patent application scope, wherein the metal film is measured by an end point detector. 98. The method of item 96 of the patent application, wherein the thickness of the metal film is determined by measuring an ultrasonic wave which is generated by guiding a laser to the metal film. 99. As the method of the scope of patent application No. 96, a further package -74- (13) (13) 200402821 includes plating the wafer, if it is determined in c) that the thickness of the metal film is too thin 〇 1 〇〇 The method according to item 91 of the patent application scope, wherein in an electro-polishing process, the rotation speed of the chuck follows a linear movement between the wafer and a nozzle parallel to the main surface of the wafer The distance changes. 1 ο 1 The method according to item 91 of the scope of patent application, wherein in an electropolishing process, the rotation speed of the chuck varies with the flow density of an electropolishing treatment liquid. 102. The method according to item 91 of the patent application, wherein in an electro-polishing process, the rotation speed of the chuck follows the measured metal film thickness data, the desired thickness data, and the wafer The polishing position varies. 1 03. A method according to item 91 of the scope of patent application, wherein the chuck is rotated in a certain linear speed mode. 1 04. The method according to item 91 of the scope of patent application, wherein the chuck is rotated in a certain rotation mode. 1 05. The method according to item 91 of the scope of patent application, wherein the chuck is rotated in a certain centrifugal force mode. 106. An apparatus for electroplating a wafer, comprising: a spray head for distributing a processing liquid, including: an inlet for receiving the processing liquid, a channel combined with the inlet and configured between the inlet and a plurality of holes And a filter element, wherein the filter element including the filter element is configured -75- (14) (14) 200402821 in the channel to disperse the treatment liquid into the inlet through the channel and flow uniformly from most holes . I 07. The device according to the scope of patent application No. 106 further includes a plurality of channels arranged between a plurality of inlets and a plurality of holes and at least one inlet is combined with each channel, and the majority of the filter elements are intended to be distributed The treatment liquid comes through each channel. 108. The device according to item 106 of the patent application, wherein the filter element is configured to face the inlet. 109. The device according to the scope of patent application No. 106, wherein the filter element is a blocking plate configured to face the inlet. II. The device according to item 106 of the patent application, wherein the head is assembled for a 300mm wafer or a 200mm wafer. 1 1 1 · The device according to the scope of patent application No. 106, further comprising an electrode ferrule which is arranged close to the plurality of holes and the surface of the channel. 112. The device according to item 11 of the patent application, wherein the electrode ring comprises an anticorrosive saturated metal or alloy. 113. The device according to the scope of patent application No. 11 further includes a nozzle head having a plurality of nozzle holes positioned on the electric shock ring of the nozzle head. 1 1 4 · The device according to the scope of patent application No. 102, wherein the plurality of nozzle holes is compensation for the plurality of holes. 115. —A method of power semiconductor-semiconductor wafer, including the behavior: receiving the processing liquid through an inlet in a channel, wherein the channel -76- (15) (15) 200402821 includes used to dispense the processing liquid And dispersing the received treatment liquid through the inlet through the passage so as to pass through the majority of the holes uniformly. 116. The method of item 115 of the patent application scope further includes receiving the device configured at the majority of the inlets. A treatment liquid for most of the channels between the plurality of wells and at least one inlet is combined with each channel, and the treatment liquid received through each channel is dispersed. 117. The method according to item 115 of the scope of patent application, wherein the treatment liquid is an electrolytic solution. 1 1 8 The method according to item 115 of the scope of patent application, wherein the treatment liquid is dispersed by a filter element arranged opposite to the inlet. 1 1 9 The method according to item 118 of the scope of patent application, wherein the filter element is a barrier plate. 1 20. The method of item 115 of the scope of patent application, which further includes plating a 300 mm wafer or a 200 mm wafer. 1 2 1 The method according to item 115 of the scope of patent application, further comprising passing the treatment liquid on the electric shock ring after the treatment liquid has been distributed from the plurality of holes. 122. The device of claim 121, wherein the electrode ring comprises a corrosion-resistant metal or alloy. 123. The device according to the scope of patent application No. 121 further includes passing the treatment liquid through a nozzle head including a plurality of nozzle holes, and the nozzle head is positioned above the electric shock ring. 124. As for the device in the scope of patent application No. 123, a further (16) (16) 200402821 includes the installation of branch pipes for the majority of nozzle holes related to the plurality of holes. 125. The device of the scope of patent application item 123, wherein the processing liquid flow is dispersed within a channel by the filter element, flows out uniformly from most holes after the shock ring, and passes through the nozzle hole To the surface of a wafer. 126. An apparatus for flattening a semiconductor wafer in a processing apparatus, comprising: three sensors positioned generally on a plane; and a chuck that is assembled to hold a wafer Facing the three sensors, the three sensors are assembled to measure the distance of the wafer surface from the sensors. 127. The device according to the scope of patent application No. 126, wherein the plane is parallel to a part of the processing device. 128. The device according to the scope of patent application No. 126, wherein the plane is combined with a processing nozzle. 1 29. The device according to item 26 of the patent application, wherein the sensor includes a conductive pin, the pin and a signal line connected to the sensor, and a metal layer on the main surface of the wafer. , And a ground wire connected to the wafer to complete a loop. 13 0. The device according to item 129 of the patent application scope further includes a control system for measuring the offset distance of the wafer based on a signal generated when the loop is completed. 1 3 1. The device according to item 130 of the scope of patent application, wherein the control system adjusts the chuck according to the distance measurement 値. -78- (17) (17) 200402821 1 3 2 · —A method for leveling a wafer in a processing device, including: determining a required calibration plane of a wafer; determining that the position of a wafer is relative The wafer requires three positions of the calibration plane; and the wafer is adjusted according to the determined wafer and the position of the required calibration plane. 13 3. The method of claim 132, wherein the plane is parallel to a part of the processing device. 134. The method of claim 132, wherein the plane is combined with the processing nozzle. 13 5. The method according to item 132 of the patent application, wherein the determined position of the wafer includes a distance measured using three sensors, each having a conductive pin, and the pin is connected to The signal line of the sensor, the metal layer on the main surface of the wafer, and a ground line connected to the metal layer of the wafer complete a loop. 13 6. The method of claim 135, wherein a control system measures the offset distance of the wafer based on a signal generated when the loop is completed. 13 7. The device of claim 136, wherein adjusting the wafer includes moving a chuck that grips the wafer according to the distance measurement frame. -79-
TW092107906A 2002-04-08 2003-04-07 Electropolishing and/or electroplating apparatus and methods TWI274393B (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US37095502P 2002-04-08 2002-04-08
US37092902P 2002-04-08 2002-04-08
US37091902P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37256602P 2002-04-14 2002-04-14
US37256702P 2002-04-14 2002-04-14
US37254202P 2002-04-14 2002-04-14
US39046002P 2002-06-21 2002-06-21

Publications (2)

Publication Number Publication Date
TW200402821A true TW200402821A (en) 2004-02-16
TWI274393B TWI274393B (en) 2007-02-21

Family

ID=29255769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092107906A TWI274393B (en) 2002-04-08 2003-04-07 Electropolishing and/or electroplating apparatus and methods

Country Status (10)

Country Link
US (1) US20050218003A1 (en)
EP (1) EP1492907A4 (en)
JP (5) JP2005522585A (en)
KR (1) KR20040099407A (en)
CN (2) CN100430526C (en)
AU (1) AU2003226319A1 (en)
CA (1) CA2479794A1 (en)
SG (1) SG159384A1 (en)
TW (1) TWI274393B (en)
WO (1) WO2003087436A1 (en)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (en) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 Wet etching equipment
JP5155517B2 (en) * 2005-04-21 2013-03-06 株式会社荏原製作所 Wafer delivery apparatus and polishing apparatus
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
EP2047507A2 (en) * 2006-08-04 2009-04-15 E.I. Du Pont De Nemours And Company Substrate carrier enclosure
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
WO2008057567A2 (en) * 2006-11-07 2008-05-15 Integrated Dynamics Engineering, Inc. Vacuum end effector for handling highly shaped substrates
JP5537947B2 (en) * 2006-11-27 2014-07-02 テック・セム アーゲー Conveyor for overhead transport system
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8172989B2 (en) * 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
KR101571180B1 (en) 2007-12-27 2015-11-23 램 리써치 코포레이션 Arrangements and methods for determining positions and offsets
KR101579990B1 (en) 2007-12-27 2015-12-23 램 리써치 코포레이션 Systems and methods for calibrating end effector alignment using at least a light source
SG186664A1 (en) 2007-12-27 2013-01-30 Lam Res Corp Systems and methods for dynamic alignment beamcalibration
SG187402A1 (en) 2007-12-27 2013-02-28 Lam Res Corp Systems and methods for calibrating end effector alignment in a plasma processing system
WO2009084610A1 (en) * 2007-12-27 2009-07-09 Nec Corporation Portable telephone terminal
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (en) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 Electrodeposition system
KR101944325B1 (en) * 2008-09-04 2019-01-31 어플라이드 머티어리얼스, 인코포레이티드 Endpoint detection in chemical mechanical polishing using multiple spectra
JP5647148B2 (en) * 2009-01-11 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electrostatic end effector apparatus, system, and method for transporting a substrate
CN101851776B (en) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 Treatment method of silicon chip edge
CN103320846B (en) * 2010-05-19 2015-12-02 易生科技(北京)有限公司 Support burnishing device and support finishing method
TWI410532B (en) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd Vertical wafer hole filling electrode plating apparatus
CN102140669B (en) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 Cleaning method after silicon chip electroplating copper
CN102427047B (en) * 2011-09-28 2014-03-12 上海华力微电子有限公司 Wafer back cleaning device and wafer back cleaning method
SG194239A1 (en) 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
CN102864486A (en) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 Electrolytic polishing device
WO2014089731A1 (en) * 2012-12-10 2014-06-19 Acm Research (Shanghai) Inc. Semiconductor wafer polishing method
JP6114060B2 (en) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 Substrate transport apparatus, substrate delivery position confirmation method, and substrate processing system
CN103510149B (en) * 2013-10-14 2015-11-18 陈功 A kind of wet type automatic polishing method with electrolytic polishing liquid and equipment thereof
CN105316754B (en) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 Electrochemical machining process and electrochemical machining apparatus
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
WO2017092029A1 (en) * 2015-12-04 2017-06-08 Acm Research (Shanghai) Inc. Apparatus for holding substrate
CN105780101B (en) * 2016-01-27 2018-06-26 杨继芳 A kind of Novel electrolytic polissoir
FR3049940B1 (en) * 2016-04-06 2018-04-13 Saint- Gobain Glass France SUPPORT DEVICE FOR GLASS SHEET IN PARTICULAR IN A WASHING PLANT
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
JP6756540B2 (en) 2016-08-08 2020-09-16 株式会社荏原製作所 A storage medium containing a plating device, a control method for the plating device, and a program for causing a computer to execute the control method for the plating device.
CN106191983B (en) * 2016-08-12 2018-06-29 厦门大学 A kind of micro fluidic device and its application process for electrochemical etching processing
CN106737805A (en) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 A kind of multi-function robot clamping jaw
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP6999195B2 (en) * 2017-08-30 2022-01-18 エーシーエム リサーチ (シャンハイ) インコーポレーテッド Plating equipment
WO2019047086A1 (en) * 2017-09-07 2019-03-14 Acm Research (Shanghai) Inc. Plating chuck
CN109560029B (en) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 Automatic round silicon wafer rewinding mechanism
CN108406568B (en) * 2018-05-21 2023-08-22 浙江工业大学 Device and method for polishing blade edge by using liquid metal polishing solution
CN108453651B (en) * 2018-05-24 2024-05-07 明峰医疗系统股份有限公司 PET detector shaft positioning tool
CN109652850B (en) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 Multi-channel sheet type electroplating device
CN109609996B (en) * 2018-12-12 2020-12-18 东华大学 Combined hanger for batch hard chromium plating of groove needles of warp knitting machine
CN109712923B (en) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 Wafer circulating device and wafer circulating method
CN109676274B (en) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 Pressure release mechanism and vacuum adsorption and dust collection device with same
CN109759957A (en) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 The circulating feeding liquid device and feed liquid method of polishing fluid in ring throwing
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
EP3851916A1 (en) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
JP7422586B2 (en) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
CN111693852B (en) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 Plastic packaging component unsealing method and unsealing device
CN114473818B (en) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 Combined adjusting polishing clamp for false tooth
CN114990549B (en) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 Surface roughening treatment device and method for automobile stamping die casting

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
DE69133413D1 (en) * 1990-05-07 2004-10-21 Canon Kk Vacuum type substrate support
JPH07136885A (en) * 1993-06-30 1995-05-30 Toshiba Corp Vacuum chuck
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
WO2000033356A2 (en) * 1998-11-28 2000-06-08 Acm Research, Inc Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal
EP1174912A4 (en) * 1999-12-24 2009-11-25 Ebara Corp Semiconductor wafer processing apparatus and processing method

Also Published As

Publication number Publication date
US20050218003A1 (en) 2005-10-06
EP1492907A4 (en) 2008-01-09
CA2479794A1 (en) 2003-10-23
WO2003087436A1 (en) 2003-10-23
JP2006328543A (en) 2006-12-07
TWI274393B (en) 2007-02-21
KR20040099407A (en) 2004-11-26
AU2003226319A1 (en) 2003-10-27
SG159384A1 (en) 2010-03-30
CN100430526C (en) 2008-11-05
JP2006319348A (en) 2006-11-24
EP1492907A1 (en) 2005-01-05
CN1653211A (en) 2005-08-10
JP2007077501A (en) 2007-03-29
JP2005522585A (en) 2005-07-28
CN101353810A (en) 2009-01-28
JP2007051377A (en) 2007-03-01
CN101353810B (en) 2012-02-15

Similar Documents

Publication Publication Date Title
TW200402821A (en) Electropolishing and/or electroplating apparatus and methods
JP4108941B2 (en) Substrate gripping apparatus, processing apparatus, and gripping method
JP4766579B2 (en) Electrochemical deposition equipment
KR102383389B1 (en) Metallization of wafer edge for optimized electroplating performance on resistive substrates
EP1204139A1 (en) Rotation holding device and semiconductor substrate processing device
US10971388B2 (en) Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
JP5389847B2 (en) Joining method, program, computer storage medium, joining apparatus and joining system
WO2004065664A1 (en) Plating device and plating method
US6805137B2 (en) Method for removing contamination particles from substrates
US6684523B2 (en) Particle removal apparatus
US6779226B2 (en) Factory interface particle removal platform
US20030037456A1 (en) Processing platform with integrated particle removal system
JP4769818B2 (en) Apparatus and method for wet processing of wafers
JP2010225790A (en) Method of thinning substrate, and substrate thinning device
JP4361760B2 (en) Plating method
TWI744515B (en) Processing method of processed objects

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees