JP2006319348A - Apparatus and method for electropolishing and/or electroplating - Google Patents

Apparatus and method for electropolishing and/or electroplating Download PDF

Info

Publication number
JP2006319348A
JP2006319348A JP2006159680A JP2006159680A JP2006319348A JP 2006319348 A JP2006319348 A JP 2006319348A JP 2006159680 A JP2006159680 A JP 2006159680A JP 2006159680 A JP2006159680 A JP 2006159680A JP 2006319348 A JP2006319348 A JP 2006319348A
Authority
JP
Japan
Prior art keywords
wafer
chuck
nozzle
liquid
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006159680A
Other languages
Japanese (ja)
Inventor
Hui Wang
ワン,フイ
Voha Nuch
ナッシュ,ボハ
Felix Gutman
ガットマン,フェリックス
Muhammed Afnan
アフナン,ムハンマド
Himanshu J Chokshi
ジェイ. チョクシ,ヒマンシュ
Kerkwyk Mark Jacobus Van
カークウィク,マーク ジェイコブス バン
Damon L Koehler
エル. コーラー,デーモン
Peihaur Yih
イー,ペイハウアー
My Hoang Nguyen
ホアン ヌグイェン,ミー
Ru Kao Chang
カオ チャン,ル
Frederick Ho
ホ,フレデリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of JP2006319348A publication Critical patent/JP2006319348A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Robotics (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an apparatus and a method for removing particles in an end effector vacuum cup and preventing acid etc. from entering a vacuum passage in the electropolishing and/or electroplating process in a robot assembly for moving a wafer. <P>SOLUTION: An end effector 306 is linked to a vacuum source via a vacuum valve 322 and to a pressurizing nitrogen source via a nitrogen valve 320. When the vacuum valve 322 is turned on, the pressure inside the vacuum cup 302 is reduced so as to press a waver against the end effector 306 to hold it. When the vacuum valve 322 is turned off and the nitrogen valve 320 is turned on, the pressure inside the vacuum cup 302 is increased and the end effector 306 releases the wafer. When the wafer is not held or moved, in a state in which the nitrogen valve 320 is kept on, a pressure near to the ambient environmental pressure or a pressure higher than the ambient environmental pressure is maintained in the vacuum cup 302. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、一般的に、半導体加工処理装置および方法に関し、および、さらに特に、半導体デバイス上の導電層を電気研磨および/または電気めっきする電気研磨および/または電気めっき装置および方法に関する。   The present invention relates generally to semiconductor processing apparatus and methods, and more particularly to electropolishing and / or electroplating apparatus and methods for electropolishing and / or electroplating conductive layers on semiconductor devices.

半導体デバイスは、トランジスタと相互接続要素とを形成するための幾つかの異なる加工処理段階を使用して半導体ウェーハ上に製造され、すなわち、組み立てられる。半導体ウェーハに関連付けられているトランジスタ端子を電気的に接続するために、導電性の(例えば、金属の)溝、vias等が半導体デバイスの一部分としての誘電性材料内に形成される。このトレンチとviasは、トランジスタと、半導体デバイスの内側回路と、半導体デバイスの外側の回路との間で、電気信号と電力とを結合する。   Semiconductor devices are manufactured or assembled on a semiconductor wafer using a number of different processing steps to form transistors and interconnect elements. Conductive (eg, metal) trenches, vias, etc. are formed in the dielectric material as part of the semiconductor device to electrically connect the transistor terminals associated with the semiconductor wafer. The trench and via couple electrical signals and power between the transistor, the inner circuit of the semiconductor device, and the circuit outside the semiconductor device.

この相互接続要素を形成する際には、半導体ウェーハに対して、例えば、半導体デバイスの所望の電子回路系を形成するためのマスキングプロセス、エッチングプロセス、および、溶着プロセスが行われることが可能である。特に、複数のマスキング段階およびエッチング段階が、相互配線(interconnection)のためのトレンチとviasとして働く半導体ウェーハ上の誘電層内の凹み区域のパターンを形成するために行われることが可能である。その次に、溶着プロセスが、トレンチ内およびvias内の両方と半導体ウェーハの非凹み区域上とに金属を溶着させるように、半導体ウェーハの上に金属層が溶着させるために行われるだろう。パターン化されたトレンチおよびviasのような相互配線を絶縁するために、半導体ウェーハの非凹み区域上に溶着した金属が取り除かれる。   In forming this interconnect element, the semiconductor wafer can be subjected to, for example, a masking process, an etching process, and a welding process to form a desired electronic circuit system of the semiconductor device. . In particular, multiple masking and etching steps can be performed to form a trench for interconnection and a pattern of recessed areas in the dielectric layer on the semiconductor wafer serving as vias. Then, a deposition process will be performed to deposit a metal layer on the semiconductor wafer so as to deposit metal both in the trenches and in the vias and on the non-recessed areas of the semiconductor wafer. In order to insulate interconnects such as patterned trenches and vias, the metal deposited on the non-recessed areas of the semiconductor wafer is removed.

半導体ウェーハ上の誘電層の非凹み区域上に溶着させられている金属薄膜を取り除く従来の方法が、例えば化学的機械的研磨(CMP)を含む。CMP法は広く知られており、トレンチおよびvias内の金属層を研磨して、相互接続線を形成するように誘電層の非凹み区域と同じ高さに平面化するために半導体産業で使用されている。   Conventional methods for removing metal films deposited on non-recessed areas of a dielectric layer on a semiconductor wafer include, for example, chemical mechanical polishing (CMP). CMP methods are widely known and are used in the semiconductor industry to polish metal layers in trenches and vias and planarize them to the same height as the non-recessed areas of the dielectric layer to form interconnect lines. ing.

しかし、CMP法は、必要とされる比較的強い機械的力のせいで、下にある半導体構造に対して有害な作用を与える可能性がある。例えば、相互配線の形状が0.13ミクロン以下に移動すると、典型的なダマスカス製法で使用される例えば銅と低k薄膜のような、導電性材料の機械的属性の間に大きな差異が存在する可能性がある。例えば、低k誘電薄膜のヤング弾性率は銅のヤング弾性率の大きさ10分の1未満であることがある。したがって、CMPプロセスにおいて誘電薄膜と銅との上に加えられる比較的強い機械的力は、特に、薄い層に裂けること、凹み、腐食、薄膜の持ち上がり、引っ掻き傷等を含む半導体構造上のストレス関連の欠陥の原因となる可能性がある。   However, CMP methods can have detrimental effects on the underlying semiconductor structure due to the relatively strong mechanical forces required. For example, if the interconnect shape moves below 0.13 microns, there is a significant difference between the mechanical attributes of conductive materials, such as copper and low-k thin films used in typical damascus manufacturing processes. there is a possibility. For example, the Young's modulus of a low-k dielectric thin film may be less than one tenth of the Young's modulus of copper. Therefore, the relatively strong mechanical forces applied on the dielectric thin film and copper in the CMP process are particularly stress related to semiconductor structures including tearing into thin layers, dents, corrosion, film lift, scratches, etc. May cause defects.

したがって、新たな加工処理の装置と方法とが金属層を溶着させて研磨するために必要とされている。例えば、金属層は、電気研磨または電気めっきプロセスを使用してウェーハから取り除かれるかまたはウェーハに溶着させられてよい。一般的に、電気研磨または電気めっきプロセスでは、研磨またはめっきされるべきウェーハの一部分が電解質流体溶液中に浸けられ、電荷がそのウェーハに印加される。この条件は、ウェーハに印加される相対電荷に応じて銅がウェーハに溶着させられるかまたはウェーハから取り除かれることを結果的に生じさせる。   Therefore, new processing equipment and methods are needed to deposit and polish metal layers. For example, the metal layer may be removed from or deposited on the wafer using an electropolishing or electroplating process. In general, in an electropolishing or electroplating process, a portion of a wafer to be polished or plated is immersed in an electrolyte fluid solution and a charge is applied to the wafer. This condition results in copper being deposited or removed from the wafer depending on the relative charge applied to the wafer.

本発明の一側面が、ウェーハ上において導電薄膜を電気研磨および/または電気めっきするための例示的な装置および方法に関する。この例示的な装置は、クリーニングモジュール、加工処理モジュール、位置合せモジュールのような様々な加工処理モジュールと、ロボット工学、エンドエフェクタ(end effector)、液体配送システム等のような異なるモジュールのプロセスを行うための様々な装置とを含む。   One aspect of the invention relates to an exemplary apparatus and method for electropolishing and / or electroplating a conductive thin film on a wafer. This exemplary apparatus processes various processing modules such as cleaning modules, processing modules, alignment modules, and different modules such as robotics, end effectors, liquid delivery systems, etc. Including various devices.

本発明の別の側面が様々な装置と加工処理方法とを含む。1つの例示的な装置が、ウェーハの主表面の斜面部分すなわち外側部分上の金属残留物を取り除くためのウェーハ縁部クリーニングアセンブリを有するクリーニングモジュールを含む。この縁部クリーニング装置は、ウェーハの主表面に液体と気体とを供給するように構成されているノズルヘッドを含む。このノズルは、ウェーハの主表面の外側縁部に隣接した領域内で液体を供給し、および、その液体が供給される場所に関して半径方向に内方に気体を供給する。液体が供給される場所の半径方向に内方の場所においてウェーハの主表面に気体を送ることが、液体がウェーハ上を半径方向に内方に流れてそのウェーハ上に形成されている金属層に達する可能性を低減させるだろう。   Another aspect of the present invention includes various devices and processing methods. One exemplary apparatus includes a cleaning module having a wafer edge cleaning assembly for removing metal residues on the beveled or outer portion of the major surface of the wafer. The edge cleaning device includes a nozzle head configured to supply liquid and gas to the main surface of the wafer. This nozzle supplies liquid in a region adjacent to the outer edge of the main surface of the wafer and supplies gas radially inward with respect to where the liquid is supplied. Sending gas to the main surface of the wafer at a location radially inward of where the liquid is supplied will cause the liquid to flow radially inward over the wafer into the metal layer formed on the wafer. Will reduce the chances of reaching.

本発明は、添付図面および特許請求項と共に以下の詳細な説明を考察することによって、より適切に理解される。   The present invention is better understood upon consideration of the detailed description below in conjunction with the accompanying drawings and claims.

本発明のより完全な理解を実現するために、以下の説明が、具体的な材料、パラメータ等のような様々な具体的な詳細を明らかにする。しかし、この説明が本発明の範囲に対する限定を意図されてはおらず、その代わりに例示的な実施形態のより適切な説明を可能にするために示されているということが認識されなければならない。   In order to provide a more thorough understanding of the present invention, the following description sets forth various specific details such as specific materials, parameters, etc. However, it should be recognized that this description is not intended to limit the scope of the invention, but instead is presented to allow a better description of the exemplary embodiments.

I.例示的な電気研磨および/または電気めっきアセンブリ
本発明の第1の側面が、半導体ウェーハを加工処理するための例示的な電気研磨および/または電気めっきアセンブリを含む。一実施形態では、1つまたは複数の半導体ウェーハを加工処理するための装置が、ウェーハを貯蔵するためのモジュールと、ウェーハを電気研磨するか電気めっきするための2つ以上の垂直に積み重ねられた加工処理モジュールと、クリーニングモジュールと、ウェーハを移動させるための(エンドエフェクタ等を有する)ロボットとを含むことができる。この装置は、別々のフレームによって特徴付けられている2つ以上のセクションに分割されてもよい。一般的には、このロボットは、ウェーハ上で所望の加工処理を行うために、ウェーハを貯蔵するためのモジュールと、加工処理モジュールと、クリーニングモジュールとの間でウェーハを移動させる。さらに、様々な他のモジュールと特徴とが、後述するように半導体ウェーハの加工処理のために含まれてもよい。
I. Exemplary Electropolishing and / or Electroplating Assembly A first aspect of the invention includes an exemplary electropolishing and / or electroplating assembly for processing a semiconductor wafer. In one embodiment, an apparatus for processing one or more semiconductor wafers is stacked in a module for storing wafers and two or more vertically stacked for electropolishing or electroplating wafers. A processing module, a cleaning module, and a robot (having an end effector or the like) for moving the wafer can be included. The device may be divided into two or more sections that are characterized by separate frames. Generally, this robot moves a wafer between a module for storing a wafer, a processing module, and a cleaning module in order to perform a desired processing on the wafer. In addition, various other modules and features may be included for processing semiconductor wafers as described below.

図1は、例示的な電気研磨および/または電気めっきアセンブリ100の分解図を示す。この例では、アセンブリ100は、メインフレーム(バックエンド、「BE」)108と、フロントフレーム(ファクトリインタフェース、「FI」)132とを含む。しかし、アセンブリ100は、より少ないセクションまたはより多いセクションに分割されてもよい。   FIG. 1 shows an exploded view of an exemplary electropolishing and / or electroplating assembly 100. In this example, assembly 100 includes a main frame (back end, “BE”) 108 and a front frame (factory interface, “FI”) 132. However, the assembly 100 may be divided into fewer or more sections.

BE 108は、電気シャーシアセンブリ102と、クリーニングドレイン/プロセス排出口104と、クリーニングモジュールアセンブリ106と、AC制御アセンブリ110と、液体配送システム(liquid delivery system)(LDS)112と、気体制御システム(gas control system)(GCS)114と、プロセスドレイン116と、ポンプおよびサージサプレッサ118と、キャビネット排出口120と、プロセスタンク122と、液体フィルタ124と、液体閉じ込めトレイ126と、二重閉じ込め区域128と、プロセスモジュールアセンブリ130とを含んでもよい。   The BE 108 includes an electrical chassis assembly 102, a cleaning drain / process outlet 104, a cleaning module assembly 106, an AC control assembly 110, a liquid delivery system (LDS) 112, and a gas control system (gas). control system (GCS) 114, process drain 116, pump and surge suppressor 118, cabinet outlet 120, process tank 122, liquid filter 124, liquid confinement tray 126, double confinement area 128, Process module assembly 130.

FI 132は、ウェーハプリアライナ(wafer pre−aligner)134と、フロントパネル136と、ライトタワー(light tower)138と、ロボットフレームアセンブリ140と、ロボットコントローラ142と、緊急機械停止(emergency machine off)(EMO)ボタン144と、フロント開口結合ポッド(front opening unified pod)(FOUP)146と、ファンフィルタユニット(fan filter unit)152とを含んでもよい。   FI 132 includes a wafer pre-aligner 134, a front panel 136, a light tower 138, a robot frame assembly 140, a robot controller 142, an emergency machine off ( EMO) button 144, front opening unified pod (FOUP) 146, and fan filter unit 152.

アセンブリ100は、2つのセクション、すなわち、FI 132とBE 108とに分離されてもよく、このことが、これら2つのセクションが別々に搬送されることと、これらのセクションが現場で単一のユニットに再び組み立てられることとを可能にする。さらに、ロボットアセンブリ147と、ドライエンドエフェクタ(dry end effector)148とウェットエンドエフェクタ(wet end effector)149とロボットコントローラ142とを含むことが可能であるロボットフレームアセンブリ140は、例えば移動中にまたはメンテナンスのためにFI 132から分離して転がって外に出ることが可能である。したがって、アセンブリ100は、輸送とクリーニングとメンテナンス等とを補助するために様々なセクションの形にモジュール化または分割されてもよい。   The assembly 100 may be separated into two sections, FI 132 and BE 108, which means that these two sections are transported separately and that these sections are a single unit in the field. Allowing them to be reassembled. Further, the robot frame assembly 140, which may include a robot assembly 147, a dry end effector 148, a wet end effector 149, and a robot controller 142, for example, during movement or maintenance. For this reason, it is possible to separate from FI 132 and roll out. Accordingly, the assembly 100 may be modularized or divided into various sections to assist in transportation, cleaning, maintenance, and the like.

図1に示されているように、FOUP 146は、ウェーハを貯蔵するための1つまたは複数のポッドを含んでよい。ドライエンドエフェクタ148はウェーハ150をそのポッドのどれか1つからウェーハプリアライナ134に移動させる。ウェーハプリアライナ134は、ウェットエンドエフェクタ149がウェーハを取り出してプロセスモジュールアセンブリ130に移動させる前に、ウェーハ150を位置合せする。ウェーハ150が他の方法および装置によってモジュール間を移動させられてもよいということが理解されなければならない。   As shown in FIG. 1, FOUP 146 may include one or more pods for storing wafers. Dry end effector 148 moves wafer 150 from one of its pods to wafer pre-aligner 134. The wafer pre-aligner 134 aligns the wafer 150 before the wet end effector 149 removes the wafer and moves it to the process module assembly 130. It should be understood that the wafer 150 may be moved between modules by other methods and apparatus.

プロセスモジュールアセンブリ130は、ウェーハを研磨するための電気研磨アセンブリまたはウェーハにめっきするための電気めっきアセンブリ131の1つまたは複数のラックを含むことができる。電気研磨アセンブリまたは電気めっきアセンブリ131は、プロセスモジュールアセンブリ130のフットプリントを小さくするために垂直に積み重ねられてもよい。クリーニングモジュールアセンブリ106は、ウェーハをクリーニングするためのクリーニングチャンバモジュール107のラックを含むことができる。同様に、クリーニングチャンバモジュール107は垂直に積み重ねられてもよい。ウェーハ150が電気研磨または電気めっきのために加工処理され終わった後に、ウェットエンドエフェクタ149はウェーハ150をクリーニングチャンバモジュール107に移動させる。ドライエンドエフェクタ148はクリーニングチャンバモ107からウェーハ150を取り出して、ウェーハ150をFOUP 146内のそのポッドに戻す。一般的に、「ドライ」エンドエフェクタ148は、ウェーハ150をFOUP 146内のポッドから取り出してそのポッドに戻す時に、または、クリーニングチャンバモジュール107から取り出す時に使用される。「ウェット」エンドエフェクタ149は、一般的に、ウェーハ150が加工処理からの残留物を含む可能性があるので、加工処理後にウェーハ150を取り出すために使用される。ウェットエンドエフェクタ149による加工処理済みのウェーハの取り出しに限定することは、ドライエンドエフェクタ148と、ウェットエンドエフェクタ149と、これらがアセンブリ140内で操作し移動させるウェーハとの間の相互汚染の可能性を低減させるだろう。   The process module assembly 130 can include one or more racks of electropolishing assemblies for polishing wafers or electroplating assemblies 131 for plating wafers. The electropolishing assembly or electroplating assembly 131 may be stacked vertically to reduce the footprint of the process module assembly 130. The cleaning module assembly 106 can include a rack of cleaning chamber modules 107 for cleaning wafers. Similarly, the cleaning chamber modules 107 may be stacked vertically. After the wafer 150 has been processed for electropolishing or electroplating, the wet end effector 149 moves the wafer 150 to the cleaning chamber module 107. The dry end effector 148 removes the wafer 150 from the cleaning chamber 107 and returns the wafer 150 to its pod in the FOUP 146. In general, the “dry” end effector 148 is used when the wafer 150 is removed from the pod in the FOUP 146 and returned to the pod, or removed from the cleaning chamber module 107. A “wet” end effector 149 is typically used to remove the wafer 150 after processing because the wafer 150 may contain residues from the processing. Limiting the removal of processed wafers by wet end effector 149 is the possibility of cross-contamination between dry end effector 148, wet end effector 149, and the wafers they operate and move within assembly 140. Will reduce.

アセンブリ100と組み合わせて使用できる例示的な電気研磨アセンブリは、2002年11月13日付で出願された標題「導電層を電気研磨するための電気研磨アセンブリおよび方法(ELECTROPOLISHING ASSEMBLY AND METHOD FOR ELECTROPOLISHING CONDUCTIVE LAYERS)」のPCT特許出願番号PCT/US02/36567に開示されており、この出願はその全体において本明細書に組み入れられている。   An exemplary electropolishing assembly that can be used in combination with the assembly 100 is the title “ELECTROPOLISHING ASSEMBLE AND METHODOLED CONDUCTIVE LAYERS” filed on Nov. 13, 2002. PCT patent application number PCT / US02 / 36567, which is incorporated herein in its entirety.

図1に示されているように、電気機器の多くは、BE 108内に、特に電気シャーシアセンブリ102とAC制御アセンブリ110との中に収容されている。LDS 112とGCS 114もBE 108内に配置されている。   As shown in FIG. 1, much of the electrical equipment is housed within the BE 108, particularly within the electrical chassis assembly 102 and the AC control assembly 110. LDS 112 and GCS 114 are also located in BE 108.

LDS 112は、DI水と様々な化学薬品および/または電解質流体とのための供給管路を含むことが可能であり、この化学薬品液よび/または電解質流体の組成は、特定の用途と、アセンブリ100内に含まれている加工処理モジュールとに応じて様々であってよい。GCS 114は、さらに、様々な化学薬品と流体の配送を制御し監視するための様々な制御弁とセンサと供給管路とを含んでもよい。   The LDS 112 may include supply lines for DI water and various chemicals and / or electrolyte fluids, the chemical liquid and / or the composition of the electrolyte fluids depending on the particular application and assembly. Depending on the processing modules included in 100, it may vary. The GCS 114 may further include various control valves, sensors, and supply lines for controlling and monitoring the delivery of various chemicals and fluids.

ポンプおよびサージサプレッサ118は、プロセスタンク122からプロセスモジュールアセンブリ130にプロセス液を送り出す。液体フィルタ124が、プロセス液がプロセスモジュールアセンブリ130に達する前にプロセス液をろ過するために供給管路内に含まれていてもよい。ウェーハ150が加工処理された後に、プロセス液は、プロセスドレイン116を通してプロセスタンク122の中に排出されてもよい。プロセスモジュールアセンブリ130とクリーニングモジュールアセンブリ106とからの例えば潜在的に有害な気体のようなあらゆる気体がプロセス排気口104から排出されることが可能である。クリーニングドレイン/プロセス排出口104は、さらに、クリーニングモジュールアセンブリ106からDI水または気体を放出するために使用されることも可能である。キャビネット排出口120が、一般的にBE 108の内側に存在する気体を放出するために使用されることが可能である。FI 132は、FI 132内にろ過された清浄な空気を供給するために使用されるファンフィルタユニット152を含むことが可能である。   The pump and surge suppressor 118 delivers process liquid from the process tank 122 to the process module assembly 130. A liquid filter 124 may be included in the supply line to filter the process liquid before it reaches the process module assembly 130. After the wafer 150 is processed, the process liquid may be drained into the process tank 122 through the process drain 116. Any gas, such as a potentially harmful gas, from the process module assembly 130 and the cleaning module assembly 106 can be exhausted from the process outlet 104. The cleaning drain / process outlet 104 can also be used to release DI water or gas from the cleaning module assembly 106. A cabinet outlet 120 can be used to release gases that are generally present inside the BE 108. The FI 132 can include a fan filter unit 152 that is used to supply clean air filtered into the FI 132.

BE 108は、さらに、液体閉じ込めトレイ126と二重閉じ込め区域128とを含んでもよい。液体閉じ込めトレイ126は、プロセスタンク122からのオーバーフロー、または、供給管路内における漏洩の場合に有効であることが可能である。液体閉じ込めトレイ126は、さらに、漏洩を検出するための漏洩センサを含んでもよい。二重閉じ込め区域128は、外側管材料によってすでに隔離されている供給管路からの漏洩を収容することが可能である。   The BE 108 may further include a liquid confinement tray 126 and a double confinement area 128. The liquid confinement tray 126 can be effective in the case of overflow from the process tank 122 or leakage in the supply line. The liquid confinement tray 126 may further include a leak sensor for detecting leaks. The double containment area 128 can accommodate leaks from supply lines that are already isolated by the outer tube material.

供給管路、ポンプおよびサージサプレッサ118、液体フィルタ124、液体閉じ込めトレイ126、および、二重閉じ込め区域128は、一般的に、酸と腐食とに対して耐久性がある材料を含んでよい。   The supply line, pump and surge suppressor 118, liquid filter 124, liquid confinement tray 126, and double confinement area 128 may generally comprise materials that are resistant to acid and corrosion.

BE 108、FI 132、および、ロボットフレームアセンブリ140は、ステンレス鋼、好ましくは316グレードのステンレス鋼で作られることが可能である。ロボットアセンブリ147は、アルミニウム、ステンレス鋼等で作られることが可能である。ロボットアセンブリ147が腐食を受けやすいアルミニウムまたは他の材料を含む場合には、そのアルミニウム部分の表面が、そのアルミニウム部分を腐食から保護するために、陽極酸化処理されてテフロン(登録商標)等で被覆されてもよい。クリーニングモジュールアセンブリ106は、ステンレス鋼、プラスチック、PVC,PVDF、ポリウレタン、テフロン(登録商標)等、好ましくは316グレードのステンレス鋼で作られることが可能である。GCS 114と液体閉じ込めトレイ126は、プラスチック材料、好ましくは不燃性プラスチックで作られることが可能である。プロセスタンク122は、PVC、PVDF、テフロン(登録商標)等のようなプラスチック、好ましくはPVDFで作られることが可能である。しかし、BE 108および/またはFI 132で使用するための他の適した材料または被覆が予想されているということが認識されなければならない。   The BE 108, FI 132, and robot frame assembly 140 can be made of stainless steel, preferably 316 grade stainless steel. The robot assembly 147 can be made of aluminum, stainless steel, or the like. If the robot assembly 147 includes aluminum or other materials that are susceptible to corrosion, the surface of the aluminum portion is anodized and coated with Teflon or the like to protect the aluminum portion from corrosion. May be. The cleaning module assembly 106 can be made of stainless steel, plastic, PVC, PVDF, polyurethane, Teflon, etc., preferably 316 grade stainless steel. The GCS 114 and liquid confinement tray 126 can be made of a plastic material, preferably a non-combustible plastic. The process tank 122 can be made of a plastic such as PVC, PVDF, Teflon, etc., preferably PVDF. However, it should be recognized that other suitable materials or coatings for use with BE 108 and / or FI 132 are anticipated.

半導体ウェーハを電気研磨または電気めっきするための例示的なプロセスが、FOUP 146内に置かれているウェーハを収容するポッドから開始する。このポッド、すなわち、ポッドのドアが、エンドエフェクタ148によってウェーハを拾い上げるためにロボットアセンブリ147がそのポッド内に到達することを可能にするように開かれる。ロボットアセンブリ147とドライエンドエフェクタ148は、加工処理のためにウェーハ150を位置合せするために、ウェーハ150をウェーハプリアライナ134に移動させる。ウェーハプリアライナ134がウェーハ150を位置合せした後に、ロボットアセンブリ147はウェットエンドエフェクタ149を使用してウェーハプリアライナ134からウェーハ150を拾い上げ、加工処理のために電気研磨または電気めっきアセンブリ131にウェーハ150を移動させる。   An exemplary process for electropolishing or electroplating a semiconductor wafer begins with a pod containing a wafer that is placed in FOUP 146. This pod, or pod door, is opened to allow the robot assembly 147 to reach the pod to pick up the wafer by the end effector 148. The robot assembly 147 and the dry end effector 148 move the wafer 150 to the wafer pre-aligner 134 to align the wafer 150 for processing. After the wafer pre-aligner 134 aligns the wafer 150, the robot assembly 147 uses the wet end effector 149 to pick up the wafer 150 from the wafer pre-aligner 134 and transfer the wafer 150 to the electropolishing or electroplating assembly 131 for processing. Move.

電気研磨または電気めっきプロセスが完了した後に、ロボットアセンブリ147は、ウェットエンドエフェクタ149を使用してウェーハ150を拾い上げ、そのウェーハをクリーニングチャンバモジュール107内に移動させる。クリーニングプロセスが完了した後に、ドライエンドエフェクタ148がウェーハ150を拾い上げて、取り出しのためにFOUP 146内のポッドにウェーハ150を戻す。   After the electropolishing or electroplating process is complete, the robot assembly 147 uses the wet end effector 149 to pick up the wafer 150 and move the wafer into the cleaning chamber module 107. After the cleaning process is complete, dry end effector 148 picks up wafer 150 and returns wafer 150 to the pod in FOUP 146 for removal.

複数のウェーハと複数の電気研磨または電気めっきアセンブリとを含む別の例示的なプロセスでは、上述の例示的なプロセスが、類似の段階が第2のウェーハ、第3のウェーハ等に行われるのと同時に第1のウェーハに対して行われることが可能である。   In another exemplary process comprising a plurality of wafers and a plurality of electropolishing or electroplating assemblies, the above-described exemplary process is such that similar steps are performed on the second wafer, the third wafer, etc. It can be performed on the first wafer at the same time.

アセンブリ100の様々な構成要素をより詳細に後述する。この例示的な電気研磨および/または電気めっき装置を特定の実施形態と具体例と用途とに関して説明してきたが、本発明からの逸脱なしに様々な変形と変更とが行われてもよいということが当業者には明らかだろう。   Various components of the assembly 100 are described in more detail below. Although this exemplary electropolishing and / or electroplating apparatus has been described with respect to particular embodiments, specific examples, and applications, various modifications and changes may be made without departing from the invention. Will be apparent to those skilled in the art.

II.エンドエフェクタシール
半導体アセンブリの一側面では、例示的なエンドエフェクタ装置および方法が説明されている。エンドエフェクタは、例えばさらに別の加工処理とクリーニングと貯蔵等のためにウェーハを1つの加工処理モジュールから別の加工処理モジュールに移動させるために、ウェーハ製造プロセスにおいて一般的に使用されている。一実施形態による例示的なエンドエフェクタは、半導体ウェーハを確実に保持して移動させるために真空カップシールを含む。この例示的なエンドエフェクタは半導体加工処理モジュール内に含まれていてよく、さらに明確に述べると、半導体アセンブリのロボットアセンブリ内に含まれていてよい。この例示的なエンドエフェクタは、半導体ウェーハ表面のより確実な保持を可能にすることができ、および、一方では、ウェーハをより正確かつ確実にその行先に移動させることができる。
II. End Effector Seal In one aspect of a semiconductor assembly, exemplary end effector devices and methods are described. End effectors are commonly used in wafer manufacturing processes, for example, to move a wafer from one processing module to another for further processing, cleaning, storage, and the like. An exemplary end effector according to one embodiment includes a vacuum cup seal to securely hold and move the semiconductor wafer. This exemplary end effector may be included in a semiconductor processing module, and more specifically, may be included in a robot assembly of a semiconductor assembly. This exemplary end effector can allow for a more secure hold of the semiconductor wafer surface and, on the other hand, move the wafer to its destination more accurately and reliably.

図2は、加工処理アセンブリ内で半導体ウェーハを移動させるための例示的なロボットアセンブリを示す。ロボットアセンブリは、ウェーハ216を拾い上げて移動させるためのロボットに組み合わされている例示的なエンドエフェクタ206を含む。エンドエフェクタ206は、1つのモジュールから別のモジュールにウェーハを移動させるためにウェーハ216をエンドエフェクタ206に固定するように、エンドエフェクタ206の下面に真空を生じさせる。エンドエフェクタ206は、重力がシールに打ち勝ってウェーハ216がエンドエフェクタ206から解放されるように真空状態を取り除くことまたは圧力を増大させることによって、ウェーハ216を下に置くことができ、すなわち、ウェーハ216を解放することができる。さらに、エンドエフェクタ206は、振動、移動中の加速度等に抵抗してウェーハ216をそのエンドエフェクタに対して押し付けて保持するために、環境よりも相対的に小さい圧力でウェーハ216の下面を保持してもよい。   FIG. 2 illustrates an exemplary robot assembly for moving a semiconductor wafer within a processing assembly. The robot assembly includes an exemplary end effector 206 that is coupled to a robot for picking up and moving the wafer 216. End effector 206 creates a vacuum on the lower surface of end effector 206 to secure wafer 216 to end effector 206 for moving the wafer from one module to another. The end effector 206 can place the wafer 216 down by removing the vacuum or increasing the pressure so that gravity overcomes the seal and the wafer 216 is released from the end effector 206, ie, the wafer 216. Can be released. Further, the end effector 206 holds the lower surface of the wafer 216 with a pressure relatively smaller than the environment in order to hold the wafer 216 against the end effector while resisting vibration, acceleration during movement, and the like. May be.

図3は、例示的なエンドエフェクタ306の一側面をさらに詳細に示す。図3に示されているように、エンドエフェクタ306は、真空弁322によって制御されている真空源と、窒素弁320によって制御されている加圧窒素源とに連結されている。真空弁322がオンにされると、真空源がエンドエフェクタ306に連結され、および、ウェーハ216をエンドエフェクタ306に押し付けて保持するように、真空カップ302内の圧力を低下させる。真空弁322がオフにされ、かつ、窒素弁320がオンにされると、エンドエフェクタ306は、カップ302内で圧力が増大させられるので、真空カップ302からウェーハ216を解放するだろう。   FIG. 3 illustrates one aspect of the exemplary end effector 306 in more detail. As shown in FIG. 3, the end effector 306 is coupled to a vacuum source that is controlled by a vacuum valve 322 and a pressurized nitrogen source that is controlled by a nitrogen valve 320. When the vacuum valve 322 is turned on, a vacuum source is coupled to the end effector 306 and reduces the pressure in the vacuum cup 302 to hold the wafer 216 against the end effector 306. If the vacuum valve 322 is turned off and the nitrogen valve 320 is turned on, the end effector 306 will release the wafer 216 from the vacuum cup 302 as the pressure is increased in the cup 302.

絶対真空または近絶対真空が必要とされているわけではないということが理解されなければならない。むしろ、重力、振動、移動中の加速度等に対抗してウェーハ216を保持して固定するのに十分なだけの、加工処理環境よりも低い圧力で十分である。さらに、窒素以外の気体、例えば空気等が、ウェーハを解放する時に気体を導入して圧力を増大させるために使用されてもよい。   It should be understood that absolute vacuum or near absolute vacuum is not required. Rather, a pressure lower than the processing environment sufficient to hold and fix the wafer 216 against gravity, vibration, acceleration during movement, etc. is sufficient. In addition, gases other than nitrogen, such as air, may be used to introduce gas and increase pressure when releasing the wafer.

真空カップ302内において周囲環境圧力に近いかまたはこの周囲環境圧力よりも高い圧力を維持することによって、粒子を取り除くために、および/または、真空カップ302またはエンドエフェクタ306内の真空通路に酸などが入るのを防止するために、ウェーハが保持されていない時または移動させられていない時に窒素弁320がオン状態のままにされることが可能である。   By maintaining a pressure close to or higher than the ambient pressure in the vacuum cup 302 to remove particles and / or acid etc. in the vacuum passage in the vacuum cup 302 or end effector 306 To prevent the nitrogen from entering, the nitrogen valve 320 can be left on when the wafer is not being held or moved.

図4Aと図4Bは、真空カップ402と、マッシュルームキャップ(mushroom cap)404と、溝405と、(エンドエフェクタの重量を低減させるための)切り抜き部分408と、真空通路412と、(ロボット等への取り付けのための)ねじ416とを含む、1つの例示的なエンドエフェクタ406の平面図と断面図とを示す。エンドエフェクタ406は、ステンレス鋼、アルミニウム、様々な合金または金属、セラミックス、プラスチック等のような任意の適切な材料をその構造に含むことができる。   4A and 4B show a vacuum cup 402, a mushroom cap 404, a groove 405, a cutout portion 408 (to reduce the weight of the end effector), a vacuum passage 412, and (to a robot or the like). FIG. 2 shows a plan view and a cross-sectional view of one exemplary end effector 406 including a screw 416 (for attachment). The end effector 406 can include any suitable material in its structure, such as stainless steel, aluminum, various alloys or metals, ceramics, plastics, and the like.

図3と図4Aとに示されているように、真空源は、真空通路412を通して、および、エンドエフェクタ406の主側面上にその遠位端部の付近に位置している穴414を通して、気体を取り除く。真空通路412は、エンドエフェクタ406と一体状に形成されても、または、(図示されているように)エンドエフェクタ406の内側に形成されてもよく、または、例えばエンドエフェクタ406の反対側の表面上に、エンドエフェクタ406に隣接して位置している別の通路によって形成されてもよい。   As shown in FIG. 3 and FIG. 4A, the vacuum source passes through the vacuum passage 412 and through the hole 414 located on the major side of the end effector 406 near its distal end. Remove. The vacuum passage 412 may be formed integrally with the end effector 406 or may be formed inside the end effector 406 (as shown) or, for example, on the opposite surface of the end effector 406 Above it may be formed by another passage located adjacent to the end effector 406.

真空通路412内に生じさせられた真空または減圧によって、エンドエフェクタ406に隣接して配置されたウェーハは、真空カップ402に対して応従する形で引っ張られすなわち押し付けられ、その結果として、ウェーハの対向する主表面とエンドエフェクタ406の真空カップ402との間の一時的なシールが生じさせられる。真空カップ402は、楕円形、長円形、正方形等のような任意の適切な形状を有してよい。真空カップ402はマッシュルームキャップ404の縁の上に嵌合し、エンドエフェクタ406の表面の上方に広がる。真空カップ402は、引っ掻き傷や亀裂のようなウェーハに対する損傷を生じさせることなしにウェーハと共に一時的なシールを生じさせるように一般的に柔軟性または可とう性であるエラストマー、シリコンゴム、または、他の適した材料を含んでよい。   Due to the vacuum or reduced pressure created in the vacuum passage 412, the wafer positioned adjacent to the end effector 406 is pulled or pressed in a compliant manner against the vacuum cup 402, resulting in a wafer A temporary seal is created between the opposing major surface and the vacuum cup 402 of the end effector 406. The vacuum cup 402 may have any suitable shape such as oval, oval, square, and the like. The vacuum cup 402 fits over the edge of the mushroom cap 404 and extends above the surface of the end effector 406. The vacuum cup 402 is an elastomer, silicone rubber, or a generally flexible or flexible material that produces a temporary seal with the wafer without causing damage to the wafer such as scratches or cracks, or Other suitable materials may be included.

図4Aと図4Bとに示されているように、浅い溝405が、例えばウェーハ416が穴414の中に詰まることを防止するために、真空の保持力を増大させるためにマッシュルームキャップ404を横断して形成されている。溝405は、マッシュルームキャップ404の上部平面を2つの半円に分割する。浅い溝405は、さらに、エンドエフェクタ406の吸引と真空とを改善すると共に穴414が塞がれる可能性を低減させるために、十字線形、正方形、円形、または、他の適切な形状として形成されてもよい。マッシュルームキャップ404は、金属またはプラスチックのようなエンドエフェクタ406と同様の材料で作られてよい。一例では、マッシュルームキャップ404は、ウェーハが真空カップ402によって引っ張られる時にそのウェーハがエンドエフェクタ406の遠位端部とマッシュルームキャップ404とに押し当たるように引っ張られるように、エンドエフェクタ406の遠位端部と同じ高さにある(図4Bを参照されたい)。   As shown in FIGS. 4A and 4B, a shallow groove 405 crosses the mushroom cap 404 to increase vacuum retention, for example, to prevent the wafer 416 from clogging into the hole 414. Is formed. The groove 405 divides the upper plane of the mushroom cap 404 into two semicircles. The shallow groove 405 is further formed as a cruciform, square, circular, or other suitable shape to improve the suction and vacuum of the end effector 406 and reduce the likelihood of the hole 414 being blocked. May be. The mushroom cap 404 may be made of a material similar to the end effector 406, such as metal or plastic. In one example, the mushroom cap 404 is a distal end of the end effector 406 such that when the wafer is pulled by the vacuum cup 402, the wafer is pulled against the distal end of the end effector 406 and the mushroom cap 404. At the same height (see FIG. 4B).

図8は、例示的なエンドエフェクタの中に含まれることが可能な真空カップの断面図を示す。図8に示されているように、真空カップは、一般的に、底部分818と、一般的に角度αに傾斜している側壁820とを含むことができるエンドエフェクタの片方の表面の上に形成されている空洞である。角度αは個々の用途に応じて0度から180度まで様々であってよく、好ましくは5度から50度まで様々であってよく、より好ましくは約30度であってよい。側壁820は、可とう性でありかつウェーハと共にシールを形成するようにエンドエフェクタの表面の上方の高さHに延びるだろう。図4A、図4B、および、図8をさらに参照すると、エンドエフェクタ406は、気体が真空通路410を通して穴414から抜き出される時にウェーハ416が側壁820の縁部と接触するように配置されるだろう。真空カップ402は、真空カップ402の空洞内に生じさせられる真空によってウェーハ416を引っ張って保持するだろう。圧力差が、ウェーハ上の重力の力よりも大きいウェーハ416に対する保持力を維持するのに十分な力を生じさせるだろう。エンドエフェクタ406の保持からウェーハ1016を解放するために、気体(例えば窒素等)が、重力が保持力を超越するように穴414内の圧力を増大させるために真空通路410と穴414とを通して導入されることが可能である。   FIG. 8 shows a cross-sectional view of a vacuum cup that can be included in an exemplary end effector. As shown in FIG. 8, the vacuum cup generally overlies one end effector surface that may include a bottom portion 818 and a sidewall 820 that is generally inclined at an angle α. It is a cavity that is formed. The angle α may vary from 0 degrees to 180 degrees depending on the particular application, preferably from 5 degrees to 50 degrees, and more preferably about 30 degrees. The side wall 820 will be flexible and will extend to a height H above the surface of the end effector so as to form a seal with the wafer. With further reference to FIGS. 4A, 4B, and 8, the end effector 406 will be positioned such that the wafer 416 contacts the edge of the sidewall 820 when gas is withdrawn from the hole 414 through the vacuum passage 410. Let's go. The vacuum cup 402 will pull and hold the wafer 416 by the vacuum created in the cavity of the vacuum cup 402. The pressure differential will produce a force sufficient to maintain a holding force on the wafer 416 that is greater than the force of gravity on the wafer. To release the wafer 1016 from holding the end effector 406, a gas (eg, nitrogen, etc.) is introduced through the vacuum passage 410 and the hole 414 to increase the pressure in the hole 414 such that gravity exceeds the holding force. Can be done.

図5は、別の例示的なエンドエフェクタ506の平面図を示す。図5に示されているエンドエフェクタ506は、そのエンドエフェクタ506が3つの穴514と3つの真空カップ502とを含むということを除いて、図3、図4A、および、図4Bのエンドエフェクタに類似している。穴514と真空カップ502は、エンドエフェクタ506の設計と特定の用途とに応じて、エンドエフェクタ506上の様々な位置に配置されてよい。さらに、エンドエフェクタの形状は、馬蹄形、長方形、円形、1つまたは複数の尖端を含む幾つかに枝分かれした形状等のような任意の適した形状を含んでよい。   FIG. 5 shows a plan view of another exemplary end effector 506. The end effector 506 shown in FIG. 5 is similar to the end effector of FIGS. 3, 4A, and 4B except that the end effector 506 includes three holes 514 and three vacuum cups 502. It is similar. Hole 514 and vacuum cup 502 may be placed at various locations on end effector 506, depending on the design of end effector 506 and the particular application. Further, the shape of the end effector may include any suitable shape, such as a horseshoe, rectangle, circle, several branched shapes including one or more tips, and the like.

図6は、別の例示的なエンドエフェクタ606の平面図を示す。エンドエフェクタ606は、そのエンドエフェクタ606が複数の真空カップ602を有するということを除いて図4Aと図4Bのエンドエフェクタに類似しており、この例では、エンドエフェクタ606は、細長い(すなわち、非円形の)マッシュルームキャップ604を各々が含む5つの真空カップ602を有する。さらに、エンドエフェクタ606は、別々の穴514の各々のための分岐して分かれている真空通路を含む図5の場合とは反対に、穴614に隣接して位置している共通の真空通路を含む。   FIG. 6 shows a plan view of another exemplary end effector 606. The end effector 606 is similar to the end effector of FIGS. 4A and 4B except that the end effector 606 has a plurality of vacuum cups 602. In this example, the end effector 606 is elongated (ie, non-null). It has five vacuum cups 602 each containing a circular (mushroom) cap 604. Further, the end effector 606 has a common vacuum passage located adjacent to the hole 614, as opposed to the case of FIG. 5 that includes a bifurcated and separate vacuum passage for each of the separate holes 514. Including.

図7は、別の例示的なエンドエフェクタ706の平面図を示す。このエンドエフェクタ706は、1つの真空カップ702が複数の穴714を中に含むということを除いて、図3Aと図3Bのエンドエフェクタに類似している。この例の真空カップ702は馬蹄形に形成されているが、真空カップ402に類似した機能性を有し、および、マッシュルームキャップ604に類似している幾つかの細長いマッシュルームキャップ704を含む。   FIG. 7 shows a plan view of another exemplary end effector 706. This end effector 706 is similar to the end effector of FIGS. 3A and 3B, except that one vacuum cup 702 includes a plurality of holes 714 therein. The vacuum cup 702 in this example is formed in a horseshoe shape, but has several elongate mushroom caps 704 that have similar functionality to the vacuum cup 402 and are similar to the mushroom cap 604.

例示的なエンドエフェクタシールを特定の具体例と用途とに関して説明してきたが、様々な変形と変更とが本発明から逸脱することなしに行われてもよいということが、当業者には明らかだろう。例えば、真空カップ内に真空を生じさせる様々な方法が、ウェーハを拾い上げて移動させる時にシールを形成するために真空カップとマッシュルームキャップとの様々な他の形状と構成と同様に予想されている。   While exemplary end effector seals have been described with respect to particular embodiments and applications, it will be apparent to those skilled in the art that various modifications and changes may be made without departing from the invention. Let's go. For example, various methods of creating a vacuum in a vacuum cup are envisioned, as are various other shapes and configurations of the vacuum cup and mushroom cap to form a seal as the wafer is picked up and moved.

III.ウェーハクリーニングの方法および装置
半導体アセンブリの1つの例示的側面では、例示的なウェーハクリーニングの方法および装置が説明される。この例示的なウェーハクリーニングの方法および装置は、電気研磨または電気めっき処理プロセスの前にウェーハからゴミまたは粒子をクリーニングし、および、電気研磨または電気めっき加工処理段階の後にウェーハから加工処理液をクリーニングすることができる。例えば、電気研磨プロセスの後に、ウェーハの主表面の縁部すなわち外側領域(「斜面領域」と呼ばれることが多い)が銅残留物を含むことがある。その外側領域からこの銅残留物をエッチングで取り除き、および、ウェーハの内側領域内の薄い金属層に損傷を与えることなくウェーハをクリーニングすることが望ましい。したがって、一側面では、クリーニングモジュールが、ウェーハの外側部分すなわち縁部部分上の金属残留物を取り除くための縁部クリーニングアセンブリを含む。この縁部クリーニング装置は、ウェーハの主表面に対して液体と気体を供給するように形状構成されているノズルヘッドを含む。このノズルは、液体がウェーハ上を半径方向に内方に金属薄膜へと流れる可能性を低減させるために、縁部領域内において液体を供給し、かつ、縁部の内側縁部で気体を供給する。
III. Wafer Cleaning Method and Apparatus In one exemplary aspect of a semiconductor assembly, an exemplary wafer cleaning method and apparatus are described. This exemplary wafer cleaning method and apparatus cleans debris or particles from a wafer prior to an electropolishing or electroplating process and cleans processing fluid from the wafer after an electropolishing or electroplating process step. can do. For example, after the electropolishing process, the edge or outer region (often referred to as the “slope region”) of the major surface of the wafer may contain copper residues. It is desirable to etch away this copper residue from its outer area and clean the wafer without damaging the thin metal layer in the inner area of the wafer. Thus, in one aspect, the cleaning module includes an edge cleaning assembly for removing metal residues on the outer or edge portion of the wafer. The edge cleaning device includes a nozzle head configured to supply liquid and gas to the main surface of the wafer. This nozzle supplies liquid in the edge area and gas at the inner edge of the edge to reduce the possibility of liquid flowing radially inward on the wafer into the metal film To do.

図9Aから図9Cは、ウェーハをクリーニングするための例示的なクリーニングチャンバモジュールの様々な図を示す。図9Aから図9Cに示されているように、この例示的なクリーニングチャンバモジュールは、ドームカバー902と、クリーニングチャンバ窓904と、シリンダカバー906と、漏洩センサ908と、ドリップパンドレインライン(drip pan drain line)910と、ベースブロック(base block)912と、ドリップパンクランプ(drip pan clamp)914と、ドリップパン(drip pan)916と、底部チャンバ918と、チャックモータアセンブリ配線のための切り抜き920と、2つのDI水ノズル922(裏側)および926(上部)と、2つの窒素ノズル924(裏側)および928(上部)と、縁部クリーニングアセンブリ930と、光センサ932と、ウェーハ表側化学薬品のためのノズル934と、チャック936と、ドレインプレート938と、上部チャンバ940と、排出およびドレイン管942と、窒素管路944と、縁部クリーニングカバー946と、ウェーハ裏側化学薬品のためのノズル948と、チャックモータアセンブリ950とを含んでよい。化学薬品のための1つのノズル934に加えて、クリーニングチャンバモジュールは、化学薬品のための1つまたは複数のノズルを含むことが可能である。   9A-9C show various views of an exemplary cleaning chamber module for cleaning a wafer. As shown in FIGS. 9A-9C, this exemplary cleaning chamber module includes a dome cover 902, a cleaning chamber window 904, a cylinder cover 906, a leak sensor 908, a drip pan drain line (drip pan drain). a drain line 910, a base block 912, a drip pan clamp 914, a drip pan 916, a bottom chamber 918, and a cutout 920 for chuck motor assembly wiring Two DI water nozzles 922 (back side) and 926 (top), two nitrogen nozzles 924 (back side) and 928 (top), edge cleaning assembly 930, light sensor 932, wafer front side chemical Nozzle 934, chuck 936, drain plate 938, upper chamber 940, drain and drain tube 942, nitrogen line 944, edge cleaning cover 946, nozzle 948 for wafer backside chemicals And a chuck motor assembly 950. In addition to a single nozzle 934 for chemicals, the cleaning chamber module can include one or more nozzles for chemicals.

ウェーハ901が、エンドエフェクタ903等によってクリーニングチャンバ内に置かれることが可能である。ウェーハ901がクリーニングプロセスのためにチャック936上の許容可能な位置にあると判定されると、チャックモータアセンブリ950がウェーハの主表面に対して垂直な軸線を中心としてチャック936とウェーハ901を回転させることが可能である。チャック936とウェーハ901が約30rpmの回転速度で回転している時に、DI水ノズル922、926がウェーハ901の上部表面と裏側表面とにDI水の流れを供給することが可能である。DI水はウェーハ901の縁部を通過してクリーニングチャンバの壁に向かって流れ、ドレインプレート938を通過して、排出およびドレイン管942の中に流れ出ることが可能である。ウェーハ901からDI水を取り除いて乾燥させるために、チャックモータアセンブリ950は回転速度を2,000rpm、±1,000rpmに増大させてもよい。その次に、窒素ノズル924、928が、ウェーハ901の上部表面と裏側表面とからさらにDI水を取り除くために、ウェーハ901の上部表面と裏側表面に対して窒素(または、他の適した気体)の流れを供給することが可能である。   A wafer 901 can be placed in the cleaning chamber by an end effector 903 or the like. When it is determined that the wafer 901 is in an acceptable position on the chuck 936 for the cleaning process, the chuck motor assembly 950 rotates the chuck 936 and the wafer 901 about an axis perpendicular to the major surface of the wafer. It is possible. When the chuck 936 and the wafer 901 are rotating at a rotational speed of about 30 rpm, the DI water nozzles 922 and 926 can supply a flow of DI water to the upper and back surfaces of the wafer 901. The DI water can flow through the edge of the wafer 901 toward the cleaning chamber wall, through the drain plate 938, and out into the drain and drain tube 942. To remove the DI water from the wafer 901 and dry it, the chuck motor assembly 950 may increase the rotational speed to 2,000 rpm, ± 1,000 rpm. Next, nitrogen (or other suitable gas) is applied to the top and back surfaces of the wafer 901 for nitrogen nozzles 924 and 928 to further remove DI water from the top and back surfaces of the wafer 901. Can be supplied.

ウェーハ901が洗浄されて乾燥され、および、チャックモータアセンブリ950が停止された後に、縁部クリーニングアセンブリ930が縁部クリーニングのための位置にスライドして移動する。図10Aから図10Bは例示的なウェーハ縁部クリーニングアセンブリ930を示し、この縁部クリーニングアセンブリ930は、DI水管1006と、棒1010と、アダプタ棒1008と、ブラケット1012と、ねじ1014と、エアテーブルシリンダ(air table cylinder)1016と、調整可能ねじ1018と、フローレギュレータ(flow regulator)1020と、圧縮空気管1022と、棒クランプ1024と、酸管(acid tube)1026と、窒素管1028と、ノズルヘッド1030と、棒ワイパ1032と、窒素ノズル1034と、液体ノズル1036とを含んでよい。縁部クリーニングアセンブリ930の長さは、アダプタ棒1008を追加または除去することによって、200mmウェーハ、300mmウェーハ、または、他のサイズのウェーハと共に使用するために調整されることが可能である。ウェーハ901の上部と窒素ノズル1034との間の間隙が0.1mmから10mmの範囲内であることが可能であり、および、液体ノズル1036は縁部区域1004の上方に位置していることが可能である。   After the wafer 901 is cleaned and dried, and the chuck motor assembly 950 is stopped, the edge cleaning assembly 930 slides to a position for edge cleaning. 10A-10B show an exemplary wafer edge cleaning assembly 930 that includes a DI water tube 1006, a bar 1010, an adapter bar 1008, a bracket 1012, a screw 1014, and an air table. Air table cylinder 1016, adjustable screw 1018, flow regulator 1020, compressed air tube 1022, bar clamp 1024, acid tube 1026, nitrogen tube 1028, nozzle A head 1030, a rod wiper 1032, a nitrogen nozzle 1034, and a liquid nozzle 1036 may be included. The length of the edge cleaning assembly 930 can be adjusted for use with 200 mm wafers, 300 mm wafers, or other size wafers by adding or removing adapter rods 1008. The gap between the top of the wafer 901 and the nitrogen nozzle 1034 can be in the range of 0.1 mm to 10 mm, and the liquid nozzle 1036 can be located above the edge area 1004. It is.

図11Aから図11Cは、縁部クリーニングアセンブリと共に含まれている例示的なノズルヘッド1030の平面図と側面図と正面図とをそれぞれに示す。図11Aから図11Cに示されているように、窒素ノズル1034はウェーハ901の縁部の付近に窒素の窒素カーテン1102を生じさせる。例示的な縁部クリーニングプロセスでは、ウェーハ901は、約50−500rpm、好ましくは200rpmの回転速度で回転してよい。液体ノズル1036は、ウェーハ901の外側主表面または縁部区域1004上に約10mmの幅の薄い層を形成するように化学薬品の流れを供給する。この化学薬品は金属層または金属残留物を取り除くが、偶発的にウェーハ901の中心に向かって広がる可能性があり、このことは、金属層に有害な作用を与えることがある。様々な化学薬品が縁部区域1004内の金属残留物をエッチングするために使用されることが可能である。例えば、濃度10%のH4SO4と、濃度20%のH22が、縁部区域1004から銅金属をエッチングするために使用されることが可能である。さらに、エッチング速度を増大させるために、その化学薬品溶液が25℃から80℃の範囲に加熱されることも可能である。 FIGS. 11A through 11C show a top view, a side view, and a front view, respectively, of an exemplary nozzle head 1030 included with the edge cleaning assembly. As shown in FIGS. 11A-11C, the nitrogen nozzle 1034 creates a nitrogen curtain 1102 of nitrogen near the edge of the wafer 901. In an exemplary edge cleaning process, the wafer 901 may rotate at a rotational speed of about 50-500 rpm, preferably 200 rpm. The liquid nozzle 1036 supplies a chemical flow to form a thin layer about 10 mm wide on the outer major surface or edge area 1004 of the wafer 901. This chemical removes the metal layer or metal residue, but can accidentally spread toward the center of the wafer 901, which can have a detrimental effect on the metal layer. Various chemicals can be used to etch metal residues in the edge area 1004. For example, a concentration of 10% H 4 SO 4 and a concentration of 20% H 2 O 2 can be used to etch copper metal from the edge area 1004. Furthermore, the chemical solution can be heated in the range of 25 ° C. to 80 ° C. to increase the etching rate.

化学薬品が縁部から内方に広がる可能性を低減させるために、ウェーハ901の中心に向かって化学薬品が広がる可能性を防止するかまたは少なくとも低減させるように、縁部区域1004の内側縁部に窒素カーテン1102を生じさせるために、窒素ノズル1034が例えば窒素のような気体の流れを供給または送出する。縁部区域1004がクリーニングされた後に、液体ノズル1036は、縁部区域1004においてウェーハ901から化学薬品を希釈および/または洗浄するために、DI水の液体噴流1104を供給することが可能である。さらに、一実施形態では、縁部クリーニングプロセスの後に、追加のDI水による洗浄が、ウェーハ901の上部表面と裏側表面とをクリーニングするためにDI水ノズル922、926を使用することによって行われてもよい。   In order to reduce the possibility of chemical spreading inward from the edge, the inner edge of edge area 1004 is prevented or at least reduced to prevent the chemical from spreading towards the center of wafer 901. In order to create a nitrogen curtain 1102, a nitrogen nozzle 1034 supplies or delivers a gas flow, such as nitrogen. After the edge area 1004 is cleaned, the liquid nozzle 1036 may supply a liquid jet 1104 of DI water to dilute and / or clean chemicals from the wafer 901 in the edge area 1004. Further, in one embodiment, after the edge cleaning process, additional DI water cleaning is performed by using DI water nozzles 922, 926 to clean the top and back surfaces of the wafer 901. Also good.

縁部クリーニングプロセスが完了すると、チャックモータアセンブリ950は、チャック936とウェーハ901を回転させることを停止し、縁部クリーニングアセンブリ930は縁部クリーニング位置から休止位置にスライドして戻ることが可能である。   When the edge cleaning process is complete, the chuck motor assembly 950 stops rotating the chuck 936 and the wafer 901, and the edge cleaning assembly 930 can slide back from the edge cleaning position to the rest position. .

図11Dから図11Eは別の例示的なノズルヘッド1030の様々な図を示す。図11Dから図11Eの具体例は、窒素ノズル1034がそのノズルから延びる水平スパン1034hを持つことを除いて、図11Aから図11Cの具体例に類似している。水平スパン1034hは、縁部ノズル1036からの化学薬品がウェーハ901の中心に向かって広がることをより効果的に防止する窒素カーテン3002を生じさせることができる。水平スパン1034hとウェーハ901の表面との間の距離は、好ましくは約0.1mmから約3.0mmの範囲内であり、より好ましくは約1.5mmである。   11D-11E show various views of another exemplary nozzle head 1030. FIG. The embodiment of FIGS. 11D-11E is similar to the embodiment of FIGS. 11A-11C, except that the nitrogen nozzle 1034 has a horizontal span 1034h extending from the nozzle. Horizontal span 1034h can create a nitrogen curtain 3002 that more effectively prevents chemicals from edge nozzle 1036 from spreading toward the center of wafer 901. The distance between the horizontal span 1034h and the surface of the wafer 901 is preferably in the range of about 0.1 mm to about 3.0 mm, more preferably about 1.5 mm.

図11Fから図11Gは、別の例示的なノズルヘッド1030の様々な図を示す。図11Fから図11Gにおける具体例は、水平スパン1034hがノズルの下側部分の両側から延びているということを除いて、図11Dから図11Eの具体例に類似している。   FIGS. 11F through 11G show various views of another exemplary nozzle head 1030. The example in FIGS. 11F-11G is similar to the example in FIGS. 11D-11E except that the horizontal span 1034h extends from both sides of the lower portion of the nozzle.

図11Hは別の例示的なノズルヘッド1030を示す。図11Hの具体例は、一方が化学薬品用であり他方がDI水用である2つの液体ノズル1036を有することを除いて図11Aから図11Cの具体例に類似している。別々のノズルが、例えば、DI水洗浄の際の性能の改善を実現するだろう。   FIG. 11H shows another exemplary nozzle head 1030. The embodiment of FIG. 11H is similar to the embodiment of FIGS. 11A-11C except that it has two liquid nozzles 1036, one for chemicals and the other for DI water. Separate nozzles will provide improved performance during, for example, DI water cleaning.

図12は、ウェーハクリーニング装置内に含まれることが可能な例示的なチャックモータアセンブリ950を示す。この具体例では、チャックモータアセンブリ950は、チャック936と、上部モータプレート1202と、光センサ1204と、シャフトスリーブ(shaft sleeve)1206と、モータ1208と、フラグ(flag)1210と、スペーサ1212と、遠心ブロック軸1214と、遠心ブロック1216と、栓1218とを含む。   FIG. 12 illustrates an exemplary chuck motor assembly 950 that can be included in a wafer cleaning apparatus. In this example, the chuck motor assembly 950 includes a chuck 936, an upper motor plate 1202, an optical sensor 1204, a shaft sleeve 1206, a motor 1208, a flag 1210, a spacer 1212, A centrifuge block shaft 1214, a centrifuge block 1216, and a plug 1218 are included.

再び図9Aと図9Bと図10Aとを参照すると、ウェーハ901をチャック936上に置くために、エンドエフェクタ903は、ウェーハ901をプロセスチャンバまたはプリアライナ(図1を参照されたい)から取り出し、クリーニングのためにそのウェーハをクリーニングチャンバ窓904の中を通してクリーニングチャンバモジュールに移動させる。図13は、内側プレート1302と、外側プレート1304と、ブラケット1306と、フローコントローラ1308と、シリンダ1310と、シリンダカバー906と、リミットセンサ(limit sensor)1312とを含む例示的なクリーニングチャンバ窓904を示す。エンドエフェクタ903はウェーハ901をチャック936内に装填する。シリンダ1310は、ウェーハクリーニングプロセスを開始させるために、外側プレート1304を上昇させて、クリーニングチャンバ窓904を閉じることができる。   Referring again to FIGS. 9A, 9B, and 10A, in order to place the wafer 901 on the chuck 936, the end effector 903 removes the wafer 901 from the process chamber or pre-aligner (see FIG. 1) and cleans it. For this purpose, the wafer is moved through the cleaning chamber window 904 to the cleaning chamber module. FIG. 13 illustrates an exemplary cleaning chamber window 904 that includes an inner plate 1302, an outer plate 1304, a bracket 1306, a flow controller 1308, a cylinder 1310, a cylinder cover 906, and a limit sensor 1312. Show. End effector 903 loads wafer 901 into chuck 936. The cylinder 1310 can raise the outer plate 1304 and close the cleaning chamber window 904 to initiate the wafer cleaning process.

図12に示されているように、例示的なチャック936は、基部1220と3つのポジショナ(positioner)1222とを含む。チャック936は、200mmウェーハ、300mmウェーハ、または、他のあらゆるウェーハサイズに適合するように変更されてもよい。エンドエフェクタ903がウェーハ901をチャック936内に装填すると、ウェーハ901は3つのポジショナ1222によってチャック936内で位置出しされる。再び図9Aから図9Cを参照すると、光センサ932がチャック936内のウェーハ901の位置を検出することが可能である。ウェーハ位置出しの誤りを検査するために、光センサ932は、図15に示されているように、ウェーハ901の上部表面にビームを送る。エンドエフェクタ903がウェーハをポジショナ1222の上部表面上に位置させる場合には、そのビームは完全には反射センサ932に反射して戻らないだろう。チャック936が回転するにつれて、これに応じて反射率が変化するだろう。さらに、ウェーハ901と反射センサ932との間の距離が変化するので、反射率の差すなわち相違が、ウェーハ901がチャック936と3つのポジショナ1222との上に正確に置かれているか否かを確かめるために使用されることが可能である。一例では、ウェーハ901が3つのポジショナ1222によってチャック936上に正確に位置出しされている時には、チャックが回転している最中に反射率が約70%から75%の間で読み取られる。しかし、ウェーハ901が正確に位置出しされていない時には、反射率が約30%から約60%の間で読み取られる。誤った位置に置かれているウェーハは、チャック936が高速度で回転させられている時に、チャック936の外に出るだろうし、このことがクリーニングチャンバモジュールの内側でウェーハ901が割れることを引き起こす可能性がある。   As shown in FIG. 12, the exemplary chuck 936 includes a base 1220 and three positioners 1222. The chuck 936 may be modified to fit a 200 mm wafer, a 300 mm wafer, or any other wafer size. When the end effector 903 loads the wafer 901 into the chuck 936, the wafer 901 is positioned in the chuck 936 by the three positioners 1222. Referring again to FIGS. 9A-9C, the optical sensor 932 can detect the position of the wafer 901 within the chuck 936. To check for wafer positioning errors, the optical sensor 932 sends a beam to the upper surface of the wafer 901, as shown in FIG. If the end effector 903 places the wafer on the top surface of the positioner 1222, the beam will not be completely reflected back to the reflective sensor 932. As the chuck 936 rotates, the reflectivity will change accordingly. Further, as the distance between the wafer 901 and the reflection sensor 932 changes, the difference or difference in reflectivity ascertains whether the wafer 901 is accurately placed on the chuck 936 and the three positioners 1222. Can be used for. In one example, when the wafer 901 is accurately positioned on the chuck 936 by the three positioners 1222, the reflectivity is read between about 70% and 75% while the chuck is rotating. However, when the wafer 901 is not accurately positioned, the reflectance is read between about 30% and about 60%. A wafer in the wrong position will come out of the chuck 936 when the chuck 936 is rotated at a high speed, which can cause the wafer 901 to crack inside the cleaning chamber module. There is sex.

例示的な光センサ932が図14に示されており、および、この光センサは、継手管(fitting tube)1402と、継手Oリング1404と、反射センサ1406と、ホルダ1408と、ビトンOリング(viton o−ring)1410と、ホルダフランジ1412とを含んでもよい。他の適した光センサがチャック936に対するウェーハの適正な位置出しを判定するために使用されてもよいということが認識されなければならない。他の例では、光センサ932は、近接センサ、渦電流センサ、音響センサ等のような、ウェーハの表面を測定するための非光学的なセンサによって置き換えられてもよい。   An exemplary light sensor 932 is shown in FIG. 14 and includes a fitting tube 1402, a fitting O-ring 1404, a reflection sensor 1406, a holder 1408, a Viton O-ring ( viton o-ring) 1410 and a holder flange 1412. It should be appreciated that other suitable optical sensors may be used to determine proper positioning of the wafer relative to the chuck 936. In other examples, the optical sensor 932 may be replaced by a non-optical sensor for measuring the surface of the wafer, such as a proximity sensor, eddy current sensor, acoustic sensor, or the like.

乾燥サイクル等のような様々なクリーニングプロセス中に比較的高い遠心力の作用によってチャック936の外にウェーハ901が回転して出ることを防止するために、チャックポジショナ1222は遠心ブロック1216を含んでもよい。遠心ブロック1216は、上部部分よりも重たい下部要素(すなわち、ウェイト)を含むことが可能であり、この下部部分は遠心ブロック軸1214に近接している。チャック936が約1,000rpm以上の回転速度で回転している時には、遠心力は、遠心ブロック1216内のウェイトが外方に回転することを引き起こすだろう。したがって、遠心ブロック1216の上部部分は内方に移動して、ウェーハ901をチャック936に固定して保持する。ポジショナ1222と遠心ブロック1216との重さ、長さ等は、ウェーハを固定するためにポジショナ1222が移動する速度を変化させるために変化させられることが可能である。チャックモータアセンブリ950が減速または停止すると、遠心ブロック1216は、減少した遠心力またはゼロの遠心力のせいでその直立位置に戻るだろう。ウェーハを固定するために、チャック回転速度が約200rpmから約3,000rpmの範囲内に設定され、好ましくは2,000rpmに設定される。   In order to prevent the wafer 901 from rotating out of the chuck 936 due to the action of relatively high centrifugal forces during various cleaning processes such as a drying cycle, the chuck positioner 1222 may include a centrifuge block 1216. . The centrifuge block 1216 can include a lower element (ie, a weight) that is heavier than the upper portion, and the lower portion is proximate to the centrifuge block shaft 1214. When the chuck 936 is rotating at a rotational speed of about 1,000 rpm or higher, the centrifugal force will cause the weight in the centrifugal block 1216 to rotate outward. Accordingly, the upper portion of the centrifuge block 1216 moves inward to fix and hold the wafer 901 on the chuck 936. The weight, length, etc. of the positioner 1222 and the centrifuge block 1216 can be varied to change the speed at which the positioner 1222 moves to secure the wafer. When the chuck motor assembly 950 decelerates or stops, the centrifuge block 1216 will return to its upright position due to reduced or zero centrifugal force. In order to fix the wafer, the chuck rotation speed is set in the range of about 200 rpm to about 3,000 rpm, and preferably 2,000 rpm.

図16Aから図16Cは、ポジショナ1222とウェーハ裏側化学薬品(wafer backside chemical)948との関係において、例示的な裏側ウェーハクリーニングプロセスとウェーハとを示す。例示的なウェーハ裏側クリーニングプロセスでは、モータ1208が、ウェーハ裏側化学薬品が3つのウェーハポジショナ1222上に飛び散って付着することなしにウェーハ901の裏側に送られることが可能であるように、ウェーハ裏側化学薬品948のためのノズルに面するようにチャック936を振動させる。ウェーハポジショナ1222に接触する化学薬品は、ウェーハ901の上部表面上に飛び散ってその上部表面を化学エッチングする恐れがあり、このことがウェーハ901上に形成されている構造とデバイスとにおける欠陥の原因となる可能性がある。裏側化学薬品948は、2つのポジショナ1222の間に位置させられて、角度βと角度−βの間で振動させられてもよい。裏側化学薬品は、図16Aから図16Cに示されているように、角度−γと角度γとの間を裏側化学薬品948を動かすことによって裏側化学薬品948を中心から外れた位置に方向付けることによって、角度βと角度−βとを超えてウェーハ901の区域を覆ってもよい。   FIGS. 16A-16C illustrate an exemplary backside wafer cleaning process and wafer in relation to positioner 1222 and wafer backside chemical 948. In the exemplary wafer backside cleaning process, the wafer backside chemistry is such that the motor 1208 can be sent to the backside of the wafer 901 without the wafer backside chemicals splashing and depositing on the three wafer positioners 1222. The chuck 936 is vibrated to face the nozzle for the chemical 948. Chemicals that come into contact with the wafer positioner 1222 may scatter onto the upper surface of the wafer 901 and chemically etch the upper surface, causing defects in the structures and devices formed on the wafer 901. There is a possibility. The backside chemical 948 may be positioned between two positioners 1222 and oscillated between an angle β and an angle −β. The backside chemical directs the backside chemical 948 to an off-center position by moving the backside chemical 948 between the angles -γ and γ, as shown in FIGS. 16A-16C. May cover the area of the wafer 901 beyond the angle β and the angle −β.

化学薬品948によって配送される化学薬品がウェーハ901の裏側に到達し、および、クリーニング時間は5秒から100秒の範囲内であり、好ましくは10秒であることが可能である。その次にクリーニングプロセスがウェーハ901の裏側の各々の3分の1に対して繰り返される。   The chemical delivered by the chemical 948 reaches the back side of the wafer 901 and the cleaning time can be in the range of 5 to 100 seconds, preferably 10 seconds. The cleaning process is then repeated for each third of the back side of the wafer 901.

図17Aから図17Cは、別の例示的な裏側ウェーハクリーニングプロセスを示す。このプロセスは、チャック936が連続回転させられ、および、裏側化学薬品948が、ポジショナ1222の間で「オン」であるようにパルス化すなわちタイミング調整され、ポジショナ1222に方向付けられている時に「オフ」であるようにパルス化すなわちタイミング調整されるということを除いて、図16Aから図16Cを参照して説明されたプロセスに類似している。図16Aから図16Cと同様に、ノズル裏側化学薬品(nozzle backside chemical)948は、そのプロセス中に±γに振動してもよい。図17Bと図17Cとに示されているように、チャック936が反時計回りに回転するにつれて、裏側化学薬品948が、それがオフにされる角度a1までウェーハに液体を送る。液体は角度a2でウェーハの裏側に再び送られる。 17A-17C illustrate another exemplary backside wafer cleaning process. This process is “off” when the chuck 936 is continuously rotated and the backside chemical 948 is pulsed or timed so that it is “on” between the positioners 1222 and directed to the positioner 1222. Is similar to the process described with reference to FIGS. 16A-16C, except that it is pulsed or timed. Similar to FIGS. 16A-16C, the nozzle backside chemical 948 may oscillate to ± γ during the process. As shown in FIGS. 17B and 17C, as the chuck 936 rotates counterclockwise, the backside chemical 948 delivers liquid to the wafer to an angle a 1 at which it is turned off. Liquid is again fed at an angle a 2 on the backside of the wafer.

別の例では、ポジショナ1222に接触しているウェーハ901の裏側の部分をクリーニングするために、モータ1208が、ウェーハ901がその当初の位置から移動するのに十分なレベルの回転加速度で回転運動を生じさせるだろう。したがって、ウェーハ裏側化学薬品948のためのノズルによって送出される化学薬品は、回転運動の前にポジショナ1222と接触していたウェーハ901の裏側の部分に到達することが可能である。ウェーハ901の裏側の全表面をクリーニングした後に、DI水ノズル922が、ウェーハ901の裏側上の化学薬品を洗浄するためにDI水の流れを供給するだろう。   In another example, to clean the portion of the backside of the wafer 901 that is in contact with the positioner 1222, the motor 1208 performs a rotational motion at a level of rotational acceleration sufficient to move the wafer 901 from its original position. Will give rise to. Thus, the chemical delivered by the nozzle for the wafer backside chemical 948 can reach the backside portion of the wafer 901 that was in contact with the positioner 1222 prior to the rotational movement. After cleaning the entire surface on the back side of the wafer 901, the DI water nozzle 922 will supply a stream of DI water to clean the chemicals on the back side of the wafer 901.

ウェーハ901は1つの最終クリーニングサイクルを通過することが可能である。チャック936とウェーハ901が約30rpmの回転速度で回転している時に、DI水ノズル922、926はウェーハ901の上面と裏側とに同時にDI水の流れを供給することが可能である。ウェーハ901からDI水を取り除いてウェーハ901を乾燥させるために、チャック回転速度が2,000rpm±1,000rpmに増大させられることが可能である。窒素ノズル924、928は、その次に、ウェーハ901の上面と裏側とからDI水薄膜を取り除くためにウェーハ901の上面と裏側とに対して窒素の流れを供給することが可能である。   Wafer 901 can pass through one final cleaning cycle. When the chuck 936 and the wafer 901 are rotating at a rotational speed of about 30 rpm, the DI water nozzles 922 and 926 can supply a flow of DI water to the upper surface and the back side of the wafer 901 simultaneously. In order to remove the DI water from the wafer 901 and dry the wafer 901, the chuck rotation speed can be increased to 2,000 rpm ± 1,000 rpm. Nitrogen nozzles 924, 928 can then supply a flow of nitrogen to the top and back sides of wafer 901 to remove the DI water film from the top and back sides of wafer 901.

例示的な装置と方法との上記説明を考慮して、例示的なクリーニングの方法すなわちシーケンスは次のように進行することができる。   In view of the above description of exemplary apparatus and methods, an exemplary cleaning method or sequence may proceed as follows.

クリーニングの開始
a.チャックを初期位置に戻す。
b.外側プレート1302を開く。
c.ウェーハ901をチャック936上に置く。
d.外側プレート1302を閉じる。
Start of cleaning a. Return the chuck to the initial position.
b. Open the outer plate 1302.
c. Wafer 901 is placed on chuck 936.
d. Close the outer plate 1302.

前側クリーニング
e.10−100rpm、好ましくは50rpmの速度でチャック936を回転させる。
f.DI水ノズル(上部)926からウェーハ901の前面にDI水を送る。
g.DI水ノズル(上部)926からのDI水を止めて、その次に、チャック回転速度を1,000−2,000rpm、好ましくは2,000rpmに増大させる。
h.ウェーハ901の上部表面を乾燥させるために、窒素ノズル(上部)928から窒素を送出する。
i.窒素流を止めて、チャック回転を停止させる。
Front side cleaning e. The chuck 936 is rotated at a speed of 10-100 rpm, preferably 50 rpm.
f. DI water is sent from the DI water nozzle (upper part) 926 to the front surface of the wafer 901.
g. Stop DI water from DI water nozzle (top) 926 and then increase chuck rotation speed to 1,000-2,000 rpm, preferably 2,000 rpm.
h. In order to dry the upper surface of the wafer 901, nitrogen is delivered from a nitrogen nozzle (upper part) 928.
i. Stop nitrogen flow and stop chuck rotation.

縁部クリーニング
j.空気管シリンダ1016に動力供給することによって縁部クリーニングアセンブリ930をその休止位置から縁部クリーニング位置に移動させる。
k.100−500rpm、好ましくは350rpmの回転速度でウェーハ901を回転させ、窒素管1028を通して窒素ノズル1034から窒素を送出する。
l.酸管1026を通して液体ノズル1036から縁部クリーニング化学薬品を送出する。
m.縁部区域1004上の金属がエッチングによって除去された後に、縁部クリーニング化学薬品の送出を停止する。
n.DI水管2006を通して液体ノズル1036からDI水を送る。
o.縁部区域1004上の化学薬品が洗浄によって取り除かれた後に、DI水の流れを止める。
p.窒素管1028を通して窒素ノズル1034から窒素を供給する。
q.チャックの回転を停止させて、縁部クリーニングアセンブリ930を休止位置に戻す。
Edge cleaning j. Powering the air tube cylinder 1016 moves the edge cleaning assembly 930 from its rest position to the edge cleaning position.
k. The wafer 901 is rotated at a rotational speed of 100-500 rpm, preferably 350 rpm, and nitrogen is delivered from the nitrogen nozzle 1034 through the nitrogen tube 1028.
l. Edge cleaning chemicals are delivered from the liquid nozzle 1036 through the acid tube 1026.
m. After the metal on edge area 1004 is etched away, the edge cleaning chemical delivery is stopped.
n. DI water is sent from the liquid nozzle 1036 through the DI water pipe 2006.
o. After the chemical on the edge area 1004 has been removed by washing, the DI water flow is stopped.
p. Nitrogen is supplied from a nitrogen nozzle 1034 through a nitrogen tube 1028.
q. The chuck rotation is stopped and the edge cleaning assembly 930 is returned to the rest position.

裏側クリーニング
r.チャック936を、裏側クリーニング位置に、すなわち、ウェーハ裏側化学薬品948のためのノズルと2つの隣接するポジショナ1222との間の距離が等しい位置に移動させる。モータ1208が、ウェーハ裏側化学薬品948のためのノズルの周りでチャック936を振動させることを開始する。振動角度は45°±5°未満であるべきである。その次に、ウェーハ裏側化学薬品948のためのノズルは、ウェーハ901の裏側に化学薬品を送る。
s.ウェーハ901の第2および第3のセクションのために段階rを繰り返す。あるいは、ウェーハ901は1つの方向に連続的に回転させられてもよく、および、裏側化学薬品948はパルス状に送り出されてポジショナ1222を回避する。
Backside cleaning r. The chuck 936 is moved to the backside cleaning position, that is, a position where the distance between the nozzle for the wafer backside chemical 948 and the two adjacent positioners 1222 is equal. Motor 1208 begins to oscillate chuck 936 around the nozzle for wafer backside chemical 948. The vibration angle should be less than 45 ° ± 5 °. The nozzle for wafer backside chemical 948 then delivers the chemical to the backside of wafer 901.
s. Repeat step r for the second and third sections of wafer 901. Alternatively, the wafer 901 may be continuously rotated in one direction, and the backside chemical 948 is pumped out to avoid the positioner 1222.

シフトターンクリーニング(shift turn cleaning)
t.素早い方向転換の最中に高い加速度を使用することによってウェーハ901をその位置から移動させる。
u.段階sを繰り返す。
v.ウェーハ901の第2の3分の1に対して段階sから段階uまでを繰り返す。
w.ウェーハ901の最後の3分の1に対して段階sから段階uまでを繰り返す。
x.ウェーハ901が約50rpmの回転速度で回転している最中に、DI水をDI水ノズル(裏側)922を通してウェーハ901の裏側に送り、および、DI水ノズル(上部)926を通してウェーハ901の前側に送る。
y.DI水の送出を停止する。約1,000−3,000rpm、好ましくは2,000rpmの回転速度でチャック936を回転させ、その次に窒素をウェーハ901の前側と裏側の両方に配送する。
z.窒素流の送出を停止し、チャック936を停止させる。シリンダ1310で外側プレート1304を下降させることによってクリーニングチャンバ窓904を開く。その次に、エンドエフェク903がウェーハ901を拾い上げ、および、前記ウェーハを貯蔵ポッド(図示されていない)に移動させる。
Shift turn cleaning
t. The wafer 901 is moved from its position by using a high acceleration during a quick turn.
u. Repeat step s.
v. Repeat steps s through u for the second third of wafer 901.
w. Repeat steps s through u for the last third of wafer 901.
x. While the wafer 901 is rotating at a rotational speed of about 50 rpm, DI water is sent through the DI water nozzle (back side) 922 to the back side of the wafer 901 and through the DI water nozzle (top) 926 to the front side of the wafer 901. send.
y. Stop DI water delivery. The chuck 936 is rotated at a rotational speed of about 1,000-3,000 rpm, preferably 2,000 rpm, and then nitrogen is delivered to both the front and back sides of the wafer 901.
z. The nitrogen flow delivery is stopped and the chuck 936 is stopped. The cleaning chamber window 904 is opened by lowering the outer plate 1304 with the cylinder 1310. The end effect 903 then picks up the wafer 901 and moves the wafer to a storage pod (not shown).

上記のシーケンスはウェーハクリーニングのための1つの例示的な方法を説明するが、限定的であることは意図されていない。本発明の他の様々な側面にしたがって、ウェーハ901をクリーニングするための様々な代案の方法が存在する。例えば、第2の例示的な方法は、クリーニングプロセスを開始するための上述の通りの段階aから段階dと、それに続く縁部クリーニングのための段階jから段階qとを行い、および、DI水と窒素ガスとを使用して前側をクリーニングして乾燥させるための段階eから段階iで終わることを含む。   The above sequence describes one exemplary method for wafer cleaning, but is not intended to be limiting. In accordance with various other aspects of the present invention, there are various alternative methods for cleaning the wafer 901. For example, the second exemplary method performs steps a through d as described above for initiating the cleaning process, followed by steps j through q for edge cleaning, and DI water. And ending with step i to clean and dry the front side using nitrogen gas.

別の例示的な方法は、クリーニングプロセスを開始するための上述の通りの段階aから段階dと、それに続く縁部クリーニングのための段階jから段階qとを行い、さらに続けて、化学薬品で裏側をクリーニングするための段階rと段階sと、DI水と窒素ガスとを使用して前側をクリーニングして乾燥させるための段階eから段階iと、DI水と窒素ガスとを使用して裏側をクリーニングして乾燥させるための段階tから段階zとを行うことを含む。さらに、裏側クリーニングプロセス中に、DI水が、裏側エッチング中に使用されるあらゆる化学薬品から上部表面を保護するためにウェーハの上部表面に供給されてもよい。したがって、例示的な装置および方法を使用して半導体ウェーハをクリーニングするための様々なプロセスが予想されているということが、当業者には明らかであるはずである。   Another exemplary method includes steps a through d as described above for initiating the cleaning process, followed by steps j through q for edge cleaning, followed by chemicals. Stages r and s for cleaning the back side, stages e to i for cleaning and drying the front side using DI water and nitrogen gas, and the back side using DI water and nitrogen gas Performing steps t to z for cleaning and drying the substrate. Further, during the backside cleaning process, DI water may be supplied to the top surface of the wafer to protect the top surface from any chemicals used during backside etching. Thus, it should be apparent to those skilled in the art that various processes for cleaning semiconductor wafers using exemplary apparatus and methods are anticipated.

ウェーハをクリーニングするための装置および方法を特定の実施形態と具体例と用途とに関して説明してきたが、様々な変更と変形とが本発明から逸脱することなしに行われることが可能であるということが当業者には明らかだろう。   Although an apparatus and method for cleaning a wafer has been described with respect to particular embodiments, implementations, and applications, it is understood that various changes and modifications can be made without departing from the invention. Will be apparent to those skilled in the art.

IV.プロセスチャンバ
半導体アセンブリの別の側面には、半導体ウェーハを電気研磨および/または電気めっきするための加工処理チャンバが含まれている。この例示的な加工処理チャンバは、電気研磨装置および電気めっき装置と互換性がある。
IV. Process Chamber Another aspect of the semiconductor assembly includes a processing chamber for electropolishing and / or electroplating semiconductor wafers. This exemplary processing chamber is compatible with electropolishing equipment and electroplating equipment.

1つの例示的なプロセスでは、プロセス流体の流れがウェーハの主表面の比較的小さい部分に送られている最中に、そのウェーハが回転させられる。流体の流れを方向付けるノズル等が、ウェーハの主表面に対して平行な直線方向に沿って、例えばウェーハの内側半径から外側半径へと平行移動させられる。ウェーハ上の金属のめっきまたは研磨の均一性を増大させるために、ウェーハの回転が、流体の付随する流れに関してウェーハ表面の一定不変な線速度を生じさせるために変化させられてよい。さらに、薄層プロファイルと電気研磨または電気めっきプロセスとを決定するための様々な例示的な方法を説明する。   In one exemplary process, the wafer is rotated while the process fluid stream is being delivered to a relatively small portion of the major surface of the wafer. A nozzle or the like that directs the flow of fluid is translated along a linear direction parallel to the main surface of the wafer, for example, from the inner radius to the outer radius of the wafer. In order to increase the uniformity of metal plating or polishing on the wafer, the rotation of the wafer may be varied to produce a constant linear velocity of the wafer surface with respect to the accompanying flow of fluid. In addition, various exemplary methods for determining thin layer profiles and electropolishing or electroplating processes are described.

図18は、一実施形態による例示的なプロセスチャンバアセンブリの分解図を含む。例示的なプロセスチャンバアセンブリは、動的シュラウド(dynamic shroud)1802と、磁気連結器(magnetic coupler)1804と、軸1806と、軸を装着するためのブラケット1808と、スプラッシュガード(splashguard)1810と、管1812と、チャンバトレイ(chamber tray)1814と、底部チャンバ1816と、光センサのためのフィードスルー(feed through)1818と、栓1820と、プロセスチャンバ1822と、マニホルド1824と、ノズルプレート1826と、エンドポイント検出器(end point detector)1828と、ノズルブロック1830と、サイドプレート1832と、チャンバ窓1834と、半月形チャンバ(half moon chamber)1836と、ゲートチャック(gate chuck)1838と、窓シリンダ1840とを含むことが可能である。   FIG. 18 includes an exploded view of an exemplary process chamber assembly according to one embodiment. An exemplary process chamber assembly includes a dynamic shroud 1802, a magnetic coupler 1804, a shaft 1806, a bracket 1808 for mounting the shaft, a splash guard 1810, A tube 1812, a chamber tray 1814, a bottom chamber 1816, a feedthrough 1818 for a light sensor, a plug 1820, a process chamber 1822, a manifold 1824, a nozzle plate 1826, End point detector 1828, nozzle block 1830, side plate 1832, chamber window 1834, half moon And Nba (half moon chamber) 1836, a gate chuck (gate chuck) 1838, can include a window cylinder 1840.

例示的なチャンバは、電気研磨および/または電気めっきで等しく適切に使用されることが可能であるが、一般的に電気研磨プロセスに関して説明される。電気めっきのために本発明を使用する時に、ノズルブロック1830と、ノズルプレート1826と、マニホルド1824と、動的シュラウド1802とが、電気研磨プロセスにおいても使用されてもよい。あるいは、これらは同心円電気めっき装置(concentric circle electroplating apparatus)で置き換えられてもよい。例示的な同心円電気めっき装置が、1999年7月2日付で出願された標題「半導体デバイス上の金属相互配線を電気研磨する方法および装置(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES)」の米国特許第6,395,152号と、2000年2月4日付で出願された標題「半導体デバイス上の金属相互配線を電気研磨する方法および装置(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES)」の米国特許第6,440,295号とに説明されており、これらの特許文献は全体において両方とも本明細書に引例として組み入れられている。さらに、例示的な電気研磨および電気めっきプロセスが、2002年11月13日付で出願された標題「導電層を電気研磨する電気研磨アセンブリおよび方法(ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS)」のPCT特許出願番号PCT/US02/36567と、1999年1月15日付で出願された標題「めっき装置および方法(PLATING APPARATUS AND METHOD)」の米国特許第6,391,166号と、1999年8月7日付で出願された標題「半導体デバイス上の金属相互配線を電気研磨する方法および装置(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDEUCTOR DEVICES)」のPCT特許出願番号PCT/US99/15506とに説明されており、これらの文献すべてはその全体において本明細書に引例として組み入れられている。   Exemplary chambers can be equally well used in electropolishing and / or electroplating, but are generally described with respect to an electropolishing process. When using the present invention for electroplating, nozzle block 1830, nozzle plate 1826, manifold 1824, and dynamic shroud 1802 may also be used in the electropolishing process. Alternatively, they may be replaced with a concentric circle electroplating apparatus. An exemplary concentric electroplating apparatus was filed on July 2, 1999, entitled "Method and Apparatus for Electropolishing Metal Interconnects on Semiconductor Devices (METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR US)". Patent No. 6,395,152 and the title “METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONTECTIONS ON SEMICONDUCTOR DEVICES” filed on Feb. 4, 2000, entitled “Method and apparatus for electropolishing metal interconnects on semiconductor devices” As described in US Pat. No. 6,440,295. Both throughout these patents are incorporated by reference herein. In addition, an exemplary electropolishing and electroplating process is disclosed in the PCT patent entitled “ELECTROPOLISHING ASSEMBLE AND METHODS CONDUCTIVELING CONSTRUCTIVE LAYERS” filed on November 13, 2002. Application No. PCT / US02 / 36567 and US Pat. No. 6,391,166 of the title “PLATING APPARATUS AND METHOD” filed on Jan. 15, 1999, and Aug. 7, 1999. Method and apparatus for electropolishing metal interconnects on semiconductor devices (METHOD AND APPARATUS FOR ELECTROPO) LISHING METAL INTERCONTIONS ON SEMICON DUCTOR DEVICES) is described in PCT Patent Application No. PCT / US99 / 15506, all of which are incorporated herein by reference in their entirety.

さらに、例示的なエンドポイント検出器と方法とが、2002年9月10日付で出願された標題「エンドポイント検出のための方法および装置(METHODS AND APPARATUS FOR END−POINT DETECTION)」の米国特許第6,447,668号に説明されており、この特許はその全体において本明細書に引例として組み入れられている。   In addition, an exemplary endpoint detector and method is disclosed in U.S. Pat. No. 5,093,2002 entitled “Method and Apparatus for Endpoint Detection FOR END-POINT DETECTION” filed on Sep. 10, 2002. No. 6,447,668, which is incorporated herein by reference in its entirety.

図19に示されているように、プロセスチャンバアセンブリ内に含まれることが可能な電力駆動システムが、x軸フラグ1902と、x軸駆動アセンブリ1904と、継手1906と、モータ1908と、z軸マウントのためのブラケット1910と、テータドライブベルトおよびプーリー(theta drive belt and pulley)1912と、テータy軸反射センサ(theta y−axis reflective sensor)1914と、x軸センサ1916と、テータマウント(theta mount)1918と、z軸自在ボール管継手1920と、zドライブテーブルアセンブリ(z−drive table assembly)1922と、zモーションマウントのためのブラケット(bracket for z−motion mount)1924と、テータモータ(theta motor)1926と、テータドライブプーリ(theta drive pulley)1928と、チャックアセンブリ1930と、蓋バックカバーアセンブリ(lid back cover assembly)1932と、x軸リニアベアリング1934と、y軸調整つまみねじ1936と、z軸プレート1938と、上蓋1940と、z軸リニアベアリング1942と、軸1944と、x軸磁石1946と、磁気分離プレート(magnetic disconnect plate)1948と、y軸ステージ1950と、磁石1952と、磁石マウントのためのブラケット1954とを含むことが可能である。   As shown in FIG. 19, a power drive system that can be included in the process chamber assembly includes an x-axis flag 1902, an x-axis drive assembly 1904, a fitting 1906, a motor 1908, and a z-axis mount. Bracket 1910, theta drive belt and pulley 1912, theta y-axis reflective sensor 1914, the x-axis sensor 1916, and theta mount 1918, z-axis universal ball fitting 1920, z-drive table assembly 1922, bracket for z-motion mount for z-motion mount 1924, theta motor 1926, theta drive pulley 1928, chuck assembly 1930, lid back cover assembly 1932, x-axis linear bearing 1934, a y-axis adjusting thumbscrew 1936, a z-axis plate 1938, an upper lid 1940, a z-axis linear bearing 1942, a shaft 1944, an x-axis magnet 1946, a magnetic disconnecting plate 1948, y An axis stage 1950, a magnet 1952, and a bracket 1954 for magnet mounting can be included.

例示的なチャックアセンブリが、例えば、1999年9月7日付で出願された標題「半導体加工物の電気研磨および/または電気めっきの最中に半導体加工物を保持および位置出しする方法および装置(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)」の米国特許第6,248,222 B1号と、2001年3月7日付で出願された標題「半導体加工物の電気研磨および/または電気めっきの最中に半導体加工物を保持および位置出しする方法および装置(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)」の米国特許番号09/800,990と、2001年5月21日付で出願された標題「半導体加工物の電気研磨および/または電気めっきの最中に半導体加工物を保持および位置出しする方法および装置(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)」の米国特許番号09/856,855とに説明されており、これら3つの文献すべてがその全体において本明細書に引例として組み入れられている。   An exemplary chuck assembly is, for example, entitled “Method and Apparatus for Holding and Positioning a Semiconductor Workpiece During Electropolishing and / or Electroplating of a Semiconductor Workpiece, filed September 7, 1999 (METHOD). AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLOTING OF THE WORKPIECES) dated US Patent No. 6,248,222B And / or method and apparatus for holding and positioning a semiconductor workpiece during electroplating (METHOD AND APPAR) TUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORK WORK PIECES) and US Patent No. 09 / 800,990, dated 2001 Method and apparatus for holding and positioning semiconductor workpieces during electroplating (METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROTHING OF ORCKPIECES) ", U.S. patent application Ser. No. 09 / 856,855, all three of which are incorporated herein by reference in their entirety.

図18に示されているように、プロセスチャンバ1822は、チャンバ区域内にプロセス液すなわち電解質流体を収容するために、チャックアセンブリ1930とスプラッシュガード1810と共に平行移動する動的シュラウド1802を含むことが可能である。、光センサケーブルが、光センサおよびエンドポイント検出器1828、または、底部チャンバ1816またはチャンバトレイ1814内の漏洩を検出するためのセンサのような他の構成要素のために、フィードスルー1818の中を通して設置されることが可能である。追加の栓1820がさらに別のフィードスルーのために使用されてもよい。   As shown in FIG. 18, process chamber 1822 may include a dynamic shroud 1802 that translates with chuck assembly 1930 and splash guard 1810 to contain process fluid or electrolyte fluid within the chamber section. It is. The optical sensor cable passes through the feedthrough 1818 for the optical sensor and endpoint detector 1828, or other components such as sensors for detecting leaks in the bottom chamber 1816 or chamber tray 1814. It can be installed. Additional plugs 1820 may be used for further feedthroughs.

図18と図19の例示的な装置は、x軸駆動磁石マウントプレート1946に接続するための磁石1952を含む。チャックアセンブリ1930は、x軸リニアベアリング1934を介して軸1944上を滑動することによってx方向に沿って移動することが可能である。例えば加工処理装置の変更するために、または、メンテナンス中において、例示的な装置が動作していない時には、プロセス駆動システムはプロセスチャンバアセンブリからドッキング解除されることが可能である。モータ1908は、x方向に沿って前方に移動するためにx軸駆動アセンブリ1904内の内部ねじを反時計回りに回転させるだろう。同じまたは新たなプロセス駆動アセンブリが同じ仕方でプロセスチャンバアセンブリとドッキングしてもよい。一例が、プロセス駆動システムとプロセスチャンバとの間に物体が存在する場合、または、x軸駆動アセンブリ1904が前方または後方に移動することを妨害する何かが存在する場合には、磁石1952または磁石1946がx軸分離プレート1948から取り外されるように安全措置を含む。x軸駆動1904とモータ1908はチャックアセンブリと上蓋をさらに遠くに動かすことは不可能だろうし、この箇所では、x軸センサ1916が、プロセス駆動システムの残り部分からのx軸の離脱を認識し、および、モータ1908が電源切断されるだろう。   The exemplary apparatus of FIGS. 18 and 19 includes a magnet 1952 for connection to an x-axis drive magnet mount plate 1946. The chuck assembly 1930 can move along the x-direction by sliding on the shaft 1944 via the x-axis linear bearing 1934. The process drive system can be undocked from the process chamber assembly when the exemplary apparatus is not operating, for example, to change a processing apparatus or during maintenance. The motor 1908 will rotate the internal screw in the x-axis drive assembly 1904 counterclockwise to move forward along the x-direction. The same or new process drive assembly may dock with the process chamber assembly in the same manner. An example is a magnet 1952 or magnet if there is an object between the process drive system and the process chamber, or if there is something that prevents the x-axis drive assembly 1904 from moving forward or backward. Safety measures are included so that 1946 is removed from x-axis separation plate 1948. The x-axis drive 1904 and motor 1908 will not be able to move the chuck assembly and top cover further away, at which point the x-axis sensor 1916 will recognize the x-axis detachment from the rest of the process drive system, And the motor 1908 will be powered off.

この例示的な装置の設置または定期的メンテナンスの最中には、y軸調整つまみねじ1936が、y方向に沿って動的シュラウド1802とノズルプレート1826との上方におけるチャックアセンブリ1930の位置を調整することが可能である。   During installation or periodic maintenance of this exemplary apparatus, a y-axis adjustment thumbscrew 1936 adjusts the position of the chuck assembly 1930 above the dynamic shroud 1802 and nozzle plate 1826 along the y direction. It is possible.

図18と図19の両方を参照すると、この例示的なプロセスチャンバがプロセス用途で使用される時に、プロセス駆動システムは、プロセス駆動システム上の磁石1952をプロセスチャンバアセンブリ上の磁気連結器1804に連結することによって、プロセスチャンバアセンブリ内にドッキングされるだろう。窓シリンダ1840は、チャンバ窓1834内の開口を生じさせるために半月形チャンバ1836からゲートチャック1838を持ち上げる。ロボット(図1を参照されたい)が、チャンバ窓1834の中を通してプリアライナ(図1を参照されたい)からウェーハ1801を移動させることができる。ウェーハ1801は、電気研磨および/または電気めっきプロセスのためにチャックアセンブリ1930の中に装填される。   Referring to both FIGS. 18 and 19, when this exemplary process chamber is used in a process application, the process drive system couples a magnet 1952 on the process drive system to a magnetic coupler 1804 on the process chamber assembly. Will be docked into the process chamber assembly. Window cylinder 1840 lifts gate chuck 1838 from half-moon chamber 1836 to create an opening in chamber window 1834. A robot (see FIG. 1) can move the wafer 1801 from the pre-aligner (see FIG. 1) through the chamber window 1834. Wafer 1801 is loaded into chuck assembly 1930 for an electropolishing and / or electroplating process.

チャックアセンブリ1930を装填位置すなわち初期位置から電気研磨または電気めっきのための位置に移動させるために、zドライブテーブルアセンブリ1922内のモータが、チャックアセンブリ1930とノズルブロック1830の最上部との間の間隙が約0.5mmから約10mmの範囲内、好ましくは5mmとなるまで、z軸プレート1938をz軸リニアベアリング1942の最上部から下降させるために、そのモータの内側軸アセンブリを回転させる。あるいは、例示的なプロセスチャンバが電気めっきのために使用される場合には、zドライブテーブルアセンブリ1922内のモータは、チャックアセンブリ1930上のウェーハ1801と同心円装置の最上部との間の間隙が約0.5mmから約20mmの範囲内、好ましくは5mmとなるまで、z軸プレート1938をz軸リニアベアリング1942の最上部から下降させることが可能である。第1の金属層がウェーハ1801上にめっきされた後に、z軸プレート1938は、追加のめっきのために、ウェーハ1801のためのプロセス方法にしたがって段階的に上昇することができる。   In order to move the chuck assembly 1930 from the loading or initial position to a position for electropolishing or electroplating, a motor in the z drive table assembly 1922 is operated between the chuck assembly 1930 and the top of the nozzle block 1830. Rotate the inner shaft assembly of the motor to lower the z-axis plate 1938 from the top of the z-axis linear bearing 1942 until is within the range of about 0.5 mm to about 10 mm, preferably 5 mm. Alternatively, if the exemplary process chamber is used for electroplating, the motor in z-drive table assembly 1922 will have a gap between wafer 1801 on chuck assembly 1930 and the top of the concentric device of about The z-axis plate 1938 can be lowered from the top of the z-axis linear bearing 1942 until it is in the range of 0.5 mm to about 20 mm, preferably 5 mm. After the first metal layer is plated on the wafer 1801, the z-axis plate 1938 can be stepped up according to the process method for the wafer 1801 for additional plating.

ウェーハ1801を研磨するために、この例示的なプロセスチャンバは、ウェーハ1801上の異なる場所に対して異なる電流密度で電流を印加することによって、めっき銅ウェーハ1801から均一かつ段階的に銅を取り除く。電流とプロセス液の流れとに関する設定は、前記ウェーハのプロファイルと特定の用途に応じたユーザによって定義された他の要件とに基づいているだろう。ユーザによって定義された他の要件は、大規模な除去の実行の回数、より大きいかまたはより小さいノズルの使用、または、ウェーハ上に残るべき銅層の厚さを含むことができる。典型的には、ウェーハ測定ツール(wafer measurement metrology tool)が、ウェーハのサンプル上の銅めっきの厚さプロファイルを測定する。この測定値が、ウェーハ上の特定の設定箇所(set−point)において研磨プロセスで使用されるべき電流比率(current ratio)を含むことが可能な電流比率表を生じさせるのに役立つ。データとその結果として得られる電流比率表とが金属薄膜厚さプロファイルを生じさせ、この金属薄膜厚さプロファイルは、さらに、ウェーハの輪郭厚さ(profiled thickness)と、研磨プロセス中の電流密度と流量との設定とを策定するためにユーザによって定義された要件によってさらに変更されることが可能である。   In order to polish wafer 1801, this exemplary process chamber removes copper from plated copper wafer 1801 uniformly and stepwise by applying currents at different current densities to different locations on wafer 1801. Settings for current and process fluid flow may be based on the wafer profile and other user-defined requirements depending on the particular application. Other requirements defined by the user may include the number of large removal runs, the use of larger or smaller nozzles, or the thickness of the copper layer to remain on the wafer. Typically, a wafer measurement metrology tool measures the copper plating thickness profile on a sample of the wafer. This measurement helps to generate a current ratio table that can include the current ratio to be used in the polishing process at a particular set-point on the wafer. The data and the resulting current ratio table give a metal thin film thickness profile, which in turn has a profiled wafer thickness, current density and flow rate during the polishing process. Can be further modified according to the requirements defined by the user to formulate the settings.

ウェーハ1801に印加される電流密度は、除去のタイプの応じて様々であってよい。例えば、ウェーハ1801上の厚い金属薄膜を除去するためには、より高い電流が一般的に使用されるだろう。薄い金属薄膜を除去するためには、より制御されておりかつより正確である除去プロセスを可能にするように、より小さい電流が一般的に使用されるだろう。   The current density applied to the wafer 1801 may vary depending on the type of removal. For example, a higher current will generally be used to remove the thick metal film on the wafer 1801. To remove thin metal films, smaller currents will generally be used to allow for a more controlled and more accurate removal process.

次に、比較的厚い金属層を含むウェーハを電気研磨するための例示的なプロセスすなわち方法を説明する。この例示的な方法は、一般的に、4つ以上の加工処理段階を含む。第1に、例えば銅のような金属の厚い層の大部分の除去が行われる。第2に、エンドポイント検出器1828が、ウェーハ1801上の特定の場所において、さらに別の研磨のための設定箇所を決定するために、残留している銅層の反射率を測定する。このプロセスは、その反射率の示度に基づいて薄膜厚さプロファイルを再計算する。第3に、このプロセスは、新たな金属薄膜厚さプロファイルにしたがって銅の比較的薄い層を取り除く。第4に、エンドポイント検出器1828は、ウェーハ1801が所望の厚さおよび/またはプロファイルに研磨され終わっているかどうかを判定するために、銅層の反射率を測定する。第3と第4のプロセスは、ウェーハ1801が所望の厚さおよび/またはプロファイルに研磨されるまで反復されることが可能である。   An exemplary process or method for electropolishing a wafer that includes a relatively thick metal layer will now be described. This exemplary method generally includes four or more processing steps. First, most of the thick layer of metal, such as copper, is removed. Second, the endpoint detector 1828 measures the reflectivity of the remaining copper layer at a particular location on the wafer 1801 to determine a set point for further polishing. This process recalculates the thin film thickness profile based on its reflectivity reading. Third, this process removes a relatively thin layer of copper according to the new metal film thickness profile. Fourth, endpoint detector 1828 measures the reflectivity of the copper layer to determine if wafer 1801 has been polished to the desired thickness and / or profile. The third and fourth processes can be repeated until the wafer 1801 is polished to the desired thickness and / or profile.

しかし、エンドポイント検出器1828が、例えば初期除去プロセスにおいて、過剰に多くの銅めっきがウェーハ1801から除去されたということを判定する場合に、本発明が、ウェーハの表面上の特定の区域が銅で再めっきされる電気めっきプロセスを含んでもよいということが理解されなければならない。この電気めっきプロセスは、CuSO4+H4SO4+H2O等のような適した電解質流体を使用して、ノズルブロック1830内のノズルのための電圧を逆にするという方法を含むことが可能である。例示的な電気めっきの装置および方法が、本明細書に組み入れられている上述の米国特許第6,391,166号で説明されている。 However, if the endpoint detector 1828 determines that an excessive amount of copper plating has been removed from the wafer 1801, for example, during the initial removal process, the present invention may determine that a particular area on the surface of the wafer is copper. It should be understood that it may include an electroplating process that is re-plated with. This electroplating process can include a method of reversing the voltage for the nozzles in the nozzle block 1830 using a suitable electrolyte fluid such as CuSO 4 + H 4 SO 4 + H 2 O or the like. is there. Exemplary electroplating apparatus and methods are described in the aforementioned US Pat. No. 6,391,166, incorporated herein.

例示的なプロセス方法
段階1
ウェーハ1801上の銅層を取り除くために、テータモータ1926が、チャックアセンブリ1930がx方向に沿って移動する時に、一定不変の線速度でチャックアセンブリ1930を回転させる。ノズルブロック1830内のノズルは、一定不変の流量でウェーハ1801に対してプロセス液を送ることができる。テータモータ1926の回転速度は、電流密度と回転するチャックアセンブリ1930の直線移動距離とに関係していることが可能である。ウェーハ1801に印加されている電流比率は、さらに、金属薄膜厚さプロファイルとユーザ定義要件とにも基づいていることが可能である。この例示的な方法は、回転するチャックアセンブリ1930の直線動程上の各データポイントの間の新たな電流密度とこれらのデータポイントにおける新たな線速度とを連続的に外挿によって推定することが可能である。この方法は、さらに、その新たな電流比率と線速度とを使用して再計算されることが可能である。プロセス駆動システムは、チャックアセンブリ1930をx方向に沿って開始位置に戻す。
段階2
エンドポイント検出器1828は、チャックアセンブリ1930がx方向に沿って前後に移動する際にテータモータ1926がそのチャックアセンブリ1930を再び一定不変の線速度で回転させる時に、ウェーハ1801の銅めっき表面の反射率を測定する。この例は、ユーザによって定義された時間間隔で、ウェーハ1801の反射率とチャックアセンブリの対応する直線距離とを記録する。この例は、その新しいデータを金属薄膜プロファイルの一部分の形に外挿によって推定する。
段階3
直線距離の特定のウェーハ位置におけるウェーハ1801に対するエンドポイント検出器1828の反射率に基づいて電流が調整されるということを除いて、段階1を繰り返す。ノズルブロック1830内のより小さいノズルが、銅めっき表面のより制御された研磨を実現するために使用されることが可能である。
段階4
段階2を繰り返す。エンドポイント検出器1828からの新たな反射率測定値が事前設定値よりも大きい場合には、段階3を繰り返す。
Exemplary Process Method Stage 1
To remove the copper layer on the wafer 1801, theta motor 1926 rotates the chuck assembly 1930 at a constant linear velocity as the chuck assembly 1930 moves along the x direction. The nozzles in the nozzle block 1830 can send process liquid to the wafer 1801 at a constant flow rate. The rotation speed of the data motor 1926 can be related to the current density and the linear travel distance of the rotating chuck assembly 1930. The current ratio applied to the wafer 1801 can also be based on the metal film thickness profile and user-defined requirements. This exemplary method may continuously extrapolate the new current density between each data point on the linear stroke of the rotating chuck assembly 1930 and the new linear velocity at these data points. Is possible. This method can also be recalculated using the new current ratio and linear velocity. The process drive system returns the chuck assembly 1930 to the starting position along the x direction.
Stage 2
Endpoint detector 1828 reflects the reflectivity of the copper plated surface of wafer 1801 when theta motor 1926 rotates the chuck assembly 1930 again at a constant linear velocity as the chuck assembly 1930 moves back and forth along the x direction. Measure. This example records the reflectivity of the wafer 1801 and the corresponding linear distance of the chuck assembly at time intervals defined by the user. This example extrapolates the new data into the form of a portion of a metal film profile.
Stage 3
Step 1 is repeated except that the current is adjusted based on the reflectivity of the endpoint detector 1828 to the wafer 1801 at a particular wafer position at a linear distance. Smaller nozzles in the nozzle block 1830 can be used to achieve a more controlled polishing of the copper plated surface.
Stage 4
Repeat step 2. If the new reflectance measurement from endpoint detector 1828 is greater than the preset value, step 3 is repeated.

例示的な研磨プロセスの最中に、チャックアセンブリ1930は次の3つのモードで回転させられてよい。   During the exemplary polishing process, the chuck assembly 1930 may be rotated in three modes:

1)定線速度モード

Figure 2006319348
前式中で、Rはノズルとウェーハ中心との間の水平距離であり、
1は定数であり、
Figure 2006319348
1) Constant linear velocity mode
Figure 2006319348
Where R is the horizontal distance between the nozzle and the wafer center,
C 1 is a constant,
Figure 2006319348

実際の制御においては、R=0が無限大の回転速度を与える。したがって、式(1)は次のように表現されることが可能であり、

Figure 2006319348
前式中で、C2は特定の装置および用途による定数セットである。 In actual control, R = 0 gives an infinite rotation speed. Thus, equation (1) can be expressed as:
Figure 2006319348
Where C 2 is a constant set depending on the particular device and application.

2)定回転速度モード

Figure 2006319348
前式中で、C3はプロセス方法によって設定される定数セットである。 2) Constant rotation speed mode
Figure 2006319348
In the above equation, C 3 is a constant set set by the process method.

3)定遠心力モード

Figure 2006319348
前式中で、Vは線速度であり、Rはノズルとウェーハ中心との間の水平距離であり、および、C4は特定の装置と用途に応じた定数セットである。 3) Constant centrifugal force mode
Figure 2006319348
Where V is the linear velocity, R is the horizontal distance between the nozzle and the wafer center, and C 4 is a set of constants depending on the particular equipment and application.

Figure 2006319348
Figure 2006319348
Figure 2006319348
Figure 2006319348
前式中で、C5は特定の装置と用途に応じた定数セットである。
Figure 2006319348
Figure 2006319348
Figure 2006319348
Figure 2006319348
In the above equation, C 5 is a constant set according to the specific device and application.

チャックの水平方向すなわちx方向の移動は次にように記述でき、

Figure 2006319348
Figure 2006319348
Figure 2006319348
前式中で、C7は特定の装置と用途に応じた定数セットである。 The horizontal or x-direction movement of the chuck can be described as
Figure 2006319348
Figure 2006319348
Figure 2006319348
In the above formula, C 7 is a constant set according to the specific device and application.

図18と図19は、チャックアセンブリ1930がx方向に沿って移動するプロセス駆動システムを示すが、プロセス中に、ノズルプレート1826、または、チャックアセンブリ1930とノズルプレート1826の両方が、特定の用途に応じてx方向に移動することが可能であるということが認識されなければならない。   FIGS. 18 and 19 illustrate a process drive system in which the chuck assembly 1930 moves along the x-direction, but during the process, the nozzle plate 1826 or both the chuck assembly 1930 and the nozzle plate 1826 can be used for specific applications. It must be recognized that it is possible to move in the x direction accordingly.

図20は、例示的なプロセスチャンバアセンブリに含まれてもよい例示的なノズル2054を示す。この例示的なノズル2054は、そのノズル2054に取り付けられるか機械的に連結されることが可能な増強エネルギーユニット2080を含む。この増強エネルギーユニット2080は、より高い研磨速度と、より良好な表面仕上げと品質とを実現するために、金属薄膜2004の表面における電解質流体2081の攪拌を強化することができる。   FIG. 20 illustrates an exemplary nozzle 2054 that may be included in an exemplary process chamber assembly. The exemplary nozzle 2054 includes an augmented energy unit 2080 that can be attached to or mechanically coupled to the nozzle 2054. This enhanced energy unit 2080 can enhance the agitation of the electrolyte fluid 2081 on the surface of the metal film 2004 to achieve higher polishing rates and better surface finish and quality.

1つの例示的なノズル2054では、エネルギー補強エネルギーユニット2080は、超音波変換器すなわちマグナソニック(magnasonic)変換器を含む。電解質流体2081がノズル2054の側部入口5200から導入されてよい。超音波変換器の周波数は、その流体を攪拌するためには15KHzから100MHzの範囲内であってよい。超音波変換器は、チタン酸バリウム(LiTaO3)、チタン酸鉛、ジルコン酸鉛等のような強誘電性セラミックスで作られることが可能である。超音波変換器の出力は0.01W/cm2から1W/cm2の範囲内であってよい。 In one exemplary nozzle 2054, the energy augmenting energy unit 2080 includes an ultrasonic transducer or a magnasonic transducer. Electrolyte fluid 2081 may be introduced from the side inlet 5200 of the nozzle 2054. The frequency of the ultrasonic transducer may be in the range of 15 KHz to 100 MHz for stirring the fluid. Ultrasonic transducers can be made of ferroelectric ceramics such as barium titanate (LiTaO 3 ), lead titanate, lead zirconate, and the like. The output of the ultrasonic transducer may be in the range of 0.01 W / cm 2 to 1 W / cm 2 .

別の例では、エネルギー増強エネルギーユニット2080はレーザを含んでもよい。上述の目的と同様の目的のために、レーザが電気研磨プロセス中に金属表面に照射されることが可能である。このレーザは、例えば、ルビーレーザやNd−ガラスレーザやNd:YAG(イットリウム・アルミニウム・ガーネット、Y3Al512)レーザのような固体レーザであるか、He−NeレーザやCO2レーザやHFレーザ等のような気体レーザであってよい。このレーザの平均出力が1W/cm2から100W/cm2の範囲内であってよい。別の例では、このレーザはパルスモードで動作させられることが可能である。このパルスモードレーザの出力は、当業者には認識されるように、平均モード出力よりも著しく高いことが可能である。 In another example, the energy enhancing energy unit 2080 may include a laser. For purposes similar to those described above, a laser can be irradiated onto the metal surface during the electropolishing process. This laser is, for example, a solid laser such as a ruby laser, an Nd-glass laser, or an Nd: YAG (yttrium, aluminum, garnet, Y 3 Al 5 O 12 ) laser, or a He—Ne laser, a CO 2 laser, or an HF. It may be a gas laser such as a laser. The average power of this laser may be in the range of 1 W / cm 2 to 100 W / cm 2 . In another example, the laser can be operated in a pulsed mode. The output of this pulse mode laser can be significantly higher than the average mode output, as will be appreciated by those skilled in the art.

このレーザは、さらに、ウェーハ1004上の金属薄膜の薄膜厚さを検出できる。この例では、金属薄膜に対して送られたレーザが金属薄膜上で超音波を生じさせる。金属薄膜2004の厚さが、電気研磨プロセス中に検出された超音波によって測定されることが可能である。金属薄膜2004の厚さは、電流、半径方向のノズル速度等を変化させることによって研磨速度を制御するために使用されてもよい。   This laser can further detect the thickness of the metal thin film on the wafer 1004. In this example, a laser sent to the metal thin film generates ultrasonic waves on the metal thin film. The thickness of the metal thin film 2004 can be measured by ultrasonic waves detected during the electropolishing process. The thickness of the metal film 2004 may be used to control the polishing rate by changing the current, radial nozzle speed, and the like.

別の例では、エネルギー増強エネルギーユニット2080は、研磨プロセス中に金属薄膜2004をアニーリングするための赤外光源を含んでもよい。この赤外光源は、研磨中に金属薄膜の表面温度を制御するための追加のオプションを提供することが可能である。赤外光源の出力は1w/cm2から100w/cm2の範囲内であってよい。赤外光源は、さらに、研磨プロセス中に金属薄膜をアニーリングするために使用されてもよい。粒度と構造が、銅相互配線の電子移動性能と抵抗率とを決定するために非常に重要である。温度が金属層の粒度と構造との決定における因子であるので、さらに、赤外線センサが、研磨プロセス中の金属薄膜の表面温度を検出するために使用されることも可能である。 In another example, the energy enhancing energy unit 2080 may include an infrared light source for annealing the metal thin film 2004 during the polishing process. This infrared light source can provide an additional option for controlling the surface temperature of the metal film during polishing. The output of the infrared light source may be in the range of 1 w / cm 2 to 100 w / cm 2 . Infrared light sources may also be used to anneal the metal film during the polishing process. Grain size and structure are very important to determine the electron transfer performance and resistivity of copper interconnects. In addition, since temperature is a factor in determining the particle size and structure of the metal layer, an infrared sensor can also be used to detect the surface temperature of the metal film during the polishing process.

赤外線センサは、さらに、金属薄膜2004の温度を測定するためにも使用できる。この温度を監視することが、赤外光源出力の変更や電流密度の変更等によって研磨プロセス中に温度の調整を行うことを可能にする。   The infrared sensor can also be used to measure the temperature of the metal thin film 2004. Monitoring this temperature allows the temperature to be adjusted during the polishing process, such as by changing the infrared light source output or current density.

別の実施形態では、エネルギー増強エネルギーユニット2080は、研磨プロセス中に金属薄膜2004上に研磨電流を集束させるための磁界を含んでもよい。研磨電流を集束させることは、ノズルの研磨速度プロファイルの制御の増大を可能にし、このことは、比較的大きな直径のノズルの場合にますます重要である。この磁界は、電解液の流れの方向、すなわち、金属薄膜表面に対して垂直な方向に発生させられてよい。磁石と電磁石、超伝導体コイル駆動磁石等が、この磁界を生じさせて集束させるために使用されることが可能である。   In another embodiment, the energy enhancing energy unit 2080 may include a magnetic field for focusing the polishing current on the metal thin film 2004 during the polishing process. Focusing the polishing current allows for increased control of the polishing rate profile of the nozzle, which is increasingly important for relatively large diameter nozzles. This magnetic field may be generated in the direction of the electrolyte flow, that is, in the direction perpendicular to the surface of the metal thin film. Magnets and electromagnets, superconductor coil drive magnets, etc. can be used to generate and focus this magnetic field.

紫外線源、X線源、マイクロ波源等のような他のエネルギー源も、一般的に上述したように、電気研磨プロセスの性能を向上させるために使用されることが可能であるということが認識されなければならない。   It is recognized that other energy sources such as ultraviolet sources, x-ray sources, microwave sources, etc. can also be used to improve the performance of the electropolishing process, as generally described above. There must be.

例示的なチャンバモジュールとプロセスを特定の実施形態と具体例と用途とに関して説明してきたが、様々な変更と変形とが本発明からの逸脱なしに行われてよいということが当業者には明らかだろう。   While exemplary chamber modules and processes have been described with respect to particular embodiments, examples, and applications, it will be apparent to those skilled in the art that various changes and modifications can be made without departing from the invention. right.

V.電気めっき装置および方法
半導体アセンブリの別の側面では、電気めっき装置および方法が、半導体ウェーハを電気めっきするために含まれている。めっき装置およびプロセスでは、均一な厚さの金属薄膜をめっきするためにウェーハの表面全体にわたって均一にプロセス流体が分散させられることが一般的に望ましい。1つの例示的なプロセスでは、電解質流体の直接的な流れを妨げると共に、プロセス流体がシャワーヘッドから出てくる前にそのシャワーヘッドの流路を通してより均一にプロセス流体を分散させるフィルタブロックを含む、めっき装置のためのシャワーヘッドが説明されている。プロセス流体を流路を通してより均一に分散させることが、めっきプロセスの均一性を増大させるためにシャワーヘッドアセンブリの各オリフィスからの電解質流体の一様な流量またはほぼ一様な流量をもたらす。
V. Electroplating Apparatus and Method In another aspect of a semiconductor assembly, an electroplating apparatus and method is included for electroplating a semiconductor wafer. In plating equipment and processes, it is generally desirable to distribute the process fluid uniformly across the surface of the wafer in order to plate a thin metal film of uniform thickness. One exemplary process includes a filter block that prevents direct flow of the electrolyte fluid and distributes the process fluid more evenly through the flow path of the showerhead before it exits the showerhead. A showerhead for a plating apparatus is described. Distributing the process fluid more uniformly through the flow path results in a uniform or near uniform flow rate of electrolyte fluid from each orifice of the showerhead assembly to increase the uniformity of the plating process.

図21は、半導体ウェーハ2102をめっきするための例示的な電気めっき装置の分解図を示す。この電気めっき装置は、半月形チャンバ2104と、定置シュラウド106と、めっきシャワーヘッドアセンブリ2108と、排出口2110と、液体入口2112と、電解液フィットスルー(electrolyte fit through)2114と、液体フィットスルー2116と、チャンバトレイ2118と、底部チャンバ窓2120と、底部チャンバ2122と、プロセスチャンバ2124と、チャンバ窓2126と、上蓋アセンブリ2130と、液体入口管材料2132と、電極ケーブル2134と、軸2136とを含むことが可能である。上蓋アセンブリ2130は、見出し「プロセスチャンバ」において上述した例示的な上蓋アセンブリと機能的に類似していることが可能である。定置シュラウド2106は、例えば、電気めっき中とスピン乾燥プロセス中とに電解質がチャンバから飛び出すことを防止するために、ウェーハチャック(図示されていない)の上を覆う。   FIG. 21 shows an exploded view of an exemplary electroplating apparatus for plating a semiconductor wafer 2102. The electroplating apparatus includes a half moon chamber 2104, a stationary shroud 106, a plating showerhead assembly 2108, an outlet 2110, a liquid inlet 2112, an electrolyte fit through 2114, and a liquid fitthrough 2116. , Chamber tray 2118, bottom chamber window 2120, bottom chamber 2122, process chamber 2124, chamber window 2126, top lid assembly 2130, liquid inlet tubing 2132, electrode cable 2134, and shaft 2136. It is possible. The top lid assembly 2130 can be functionally similar to the example top lid assembly described above under the heading “Process Chamber”. A stationary shroud 2106 covers over a wafer chuck (not shown), for example, to prevent electrolyte from popping out of the chamber during electroplating and spin drying processes.

図21に示されているように、ウェーハ2102は、半月形チャンバ2104を通して上蓋アセンブリ2130のウェーハチャックに電気めっき装置の中に装着される。銅をウェーハ2102上にめっきするために、上蓋アセンブリ2130はウェーハ2102を下降させて、めっきシャワーヘッドアセンブリ2108の最上部の上方にそのウェーハを位置させるだろう。1つの例示的なめっきプロセスでは、ウェーハ2102とめっきシャワーヘッドアセンブリ2108との間の間隙が約0.1mmから約10mmの範囲内であり、好ましくは約2mmである時に、第1の金属層部分溶着が行われる。上蓋アセンブリ2130はウェーハ2102を追加の2mmから5mmだけ上昇させ、および、より厚い銅層がウェーハ上に溶着させられる第2の層溶着が行われることが可能である。   As shown in FIG. 21, the wafer 2102 is loaded into the electroplating apparatus through the half moon chamber 2104 to the wafer chuck of the top lid assembly 2130. To plate copper on the wafer 2102, the top lid assembly 2130 will lower the wafer 2102 and position the wafer above the top of the plating showerhead assembly 2108. In one exemplary plating process, the first metal layer portion when the gap between the wafer 2102 and the plating showerhead assembly 2108 is in the range of about 0.1 mm to about 10 mm, and preferably about 2 mm. Welding is performed. The top lid assembly 2130 raises the wafer 2102 by an additional 2 mm to 5 mm, and a second layer deposition can be performed in which a thicker copper layer is deposited on the wafer.

例示的な電気めっきプロセスおよびシーケンスが、1999年1月15日付で出願された標題「めっき装置および方法(PLATING APPARATUS AND METHOD)」の米国特許第6,391,166号と、2001年4月18日付で出願された標題「めっき装置および方法(PLATING APPARATUS AND METHOD)」の米国特許出願番号09/837,902と、2001年4月18日付で出願された標題「めっき装置および方法(PLATING APPARATUS AND METHOD)」の米国特許出願番号09/837,911とに説明されており、これらの文献の全体的内容が本明細書に引例として組み入れられている。   An exemplary electroplating process and sequence is described in US Pat. No. 6,391,166 of the title “PLATING APPARATUS AND METHOD” filed Jan. 15, 1999 and April 18, 2001. US patent application Ser. No. 09 / 837,902, entitled “PLATING APPARATUS AND METHOD”, filed on April 18, 2001, and the title “PLATING APPARATUS AND” filed on April 18, 2001. (METHOD) ", U.S. patent application Ser. No. 09 / 837,911, the entire contents of which are incorporated herein by reference.

図22は、めっきプロセスのための例示的なシャワーヘッドアセンブリ2108の分解図を示す。シャワーヘッドアセンブリ2108は、外側流路リング2202と、シャワーヘッド頭部2204と、シャワーヘッド2206とを含んでよい。図23と図24は、それぞれに300mmウェーハと200mmウェーハとを電気めっきするように構成されている例示的なシャワーヘッドの分解図を示す。200mmウェーハと共に使用するためには、単純に300mm外側流路リング2302を200mm外側流路リング2402と取り替え、および、300mmシャワーヘッド頭部2304を200mmシャワーヘッド頭部2404と取り替える。こうして、シャワーヘッド2006は、300mmウェーハと200mmウェーハとの両方に対して使用可能である。図24を参照すると、ウェーハのサイズが300mmから200mmに縮小するので、シャワーヘッド頭部2404はより少ないリングを含むだろうし、外側流路リング2402の直径はより小さいだろう。しかし、この例示的なシャワーヘッドがあらゆるサイズのウェーハのために構成されることが可能であるということが認識されなければならない。   FIG. 22 shows an exploded view of an exemplary showerhead assembly 2108 for the plating process. The showerhead assembly 2108 may include an outer flow path ring 2202, a showerhead head 2204, and a showerhead 2206. 23 and 24 show exploded views of exemplary showerheads configured to electroplate 300 mm wafers and 200 mm wafers, respectively. For use with a 200 mm wafer, simply replace the 300 mm outer channel ring 2302 with the 200 mm outer channel ring 2402 and replace the 300 mm showerhead head 2304 with the 200 mm showerhead head 2404. Thus, the showerhead 2006 can be used for both 300 mm and 200 mm wafers. Referring to FIG. 24, as the wafer size is reduced from 300 mm to 200 mm, the showerhead head 2404 will include fewer rings and the outer channel ring 2402 will have a smaller diameter. However, it should be recognized that this exemplary showerhead can be configured for any size wafer.

図25Aは、例示的なシャワーヘッドの分解図を示す。図25Aに示されているように、シャワーヘッド2206は、電極リング2502と、ナット2504と、電極コネクタ2506と、電極外側コネクタ2508と、小型入口フレア継手(small inlet flare fitting)2510と、入口フレア継手(inlet flare fitting)2512と、めっきフィルタブロック(plate filter
block)2514と、シャワーヘッド基部2516と、フィルタスペーサ2518と、めっきフィルタリング(plate filter ring)2520とを含むことができる。各々の電極リング2502は、整合するめっきフィルタリング2520の最上部に嵌合され、および、電極リング2502の電極をナット2504と電極コネクタ2506と電極外側コネクタ2508とによって締め付け固定することによってシャワーヘッド基部2516上の所定の位置に固着させられる。各電極は、図21に示されているように、電極外側コネクタ2508に対して電極ケーブル2134によって取り付けられている。電極リング2502は、白金、白金被覆チタン等のような防食性の金属または合金で作られることが可能である。シャワーヘッド基部2516は、入口フレア継手2512と小型入口フレア継手2510とからの電解質の流れのための流路を有するだろう。
FIG. 25A shows an exploded view of an exemplary showerhead. As shown in FIG. 25A, the showerhead 2206 includes an electrode ring 2502, a nut 2504, an electrode connector 2506, an electrode outer connector 2508, a small inlet flare fitting 2510, and an inlet flare. Fitting (inlet flare fitting) 2512 and plating filter block (plate filter)
block) 2514, showerhead base 2516, filter spacer 2518, and plate filter ring 2520. Each electrode ring 2502 is fitted to the top of the matching plating filtering 2520 and the showerhead base 2516 by clamping the electrodes of the electrode ring 2502 with nuts 2504, electrode connectors 2506, and electrode outer connectors 2508. It is fixed at a predetermined position above. Each electrode is attached to an electrode outer connector 2508 by an electrode cable 2134 as shown in FIG. The electrode ring 2502 can be made of an anticorrosive metal or alloy, such as platinum, platinum coated titanium, or the like. The showerhead base 2516 will have a flow path for electrolyte flow from the inlet flare fitting 2512 and the small inlet flare fitting 2510.

さらに図25Aに見てとれるように、入口フレア継手2512は、シャワーヘッド基部2516内の流路の幅よりも大きいことが可能であり、および、入口フレア継手は、すべての7リングまたは10リングに関して同一の位置に締め付け固定されることが不可能である。入口フレア継手をシャワーヘッド基部2516に締め付け固定するために、および、張力と重量を電極リングに均等に分散させるために、1つおきの小型入口フレア継手2510または入口フレア継手2512と、対向するフィルタブロック2514とが、円の反対側の半分部分上に配置される(フィルタブロック2514に関しては図示されていない)。入口フレア継手2512と同様に、電極リング2512は、その電極が1つおきの電極リングと共に円の他方の半分部分上に配置されるように、めっきフィルタリング2520の上に嵌合する。   As can further be seen in FIG. 25A, the inlet flare fitting 2512 can be larger than the width of the flow path in the showerhead base 2516, and the inlet flare fitting can be for all 7 or 10 rings. It is impossible to tighten and fix in the same position. In order to clamp and secure the inlet flare fitting to the showerhead base 2516 and to distribute the tension and weight evenly across the electrode ring, every other small inlet flare fitting 2510 or inlet flare fitting 2512 and the opposing filter Block 2514 is placed on the opposite half of the circle (not shown for filter block 2514). Similar to the inlet flare joint 2512, the electrode ring 2512 fits over the plating filtering 2520 such that its electrode is placed on the other half of the circle with every other electrode ring.

図25Bは、液体流ブロックアセンブリを形成するようにフィルタスペーサ2518によって互いに接合されているめっきフィルタリング2520とめっきフィルタブロック2514との分解図を示し、電極リング2502がその液体流ブロックアセンブリの上に嵌合されている。この例示的な液体流ブロックアセンブリは、Oリング2530(図示されていない)を有する各めっきフィルタブロック2514の下方かつ中心、入口フレア継手2512と共にシャワーヘッド基部2516の上方に配置されるだろう。各めっきフィルタリング2520は、各オリフィスの中心に狭い穴を有するオリフィス2522を有する。図25Aと図25Bの両方を参照すると、液体流ブロックアセンブリと電極リング2502とがシャワーヘッド基部2516に締め付け固定されるので、めっきフィルタリング2520とシャワーヘッド基部の底部との間に流路が形成される。電解質流体が入口フレア継手2512から流入する。電解質の流れは最初に入口の上方でめっきフィルタブロック2514の中心に衝突し、流路全体にわたって分散させられるだろう。電解質流体がその流路を上昇するにつれて、その電解質は均一にオリフィス2522から外に流れ出て、電極リング2502に到達するだろう。その電解質流体は電極リング2502を通過して、ノズルヘッド2004内の穴2524を通ってウェーハ2102の表面に均一に流れる。   FIG. 25B shows an exploded view of a plating filter 2520 and a plating filter block 2514 joined together by a filter spacer 2518 to form a liquid flow block assembly, with an electrode ring 2502 fitted over the liquid flow block assembly. Are combined. This exemplary liquid flow block assembly would be positioned below and in the center of each plated filter block 2514 with an O-ring 2530 (not shown) and above the showerhead base 2516 with an inlet flare joint 2512. Each plating filtering 2520 has an orifice 2522 with a narrow hole in the center of each orifice. Referring to both FIG. 25A and FIG. 25B, since the liquid flow block assembly and electrode ring 2502 are clamped to the showerhead base 2516, a flow path is formed between the plating filtering 2520 and the bottom of the showerhead base. The Electrolyte fluid flows from the inlet flare joint 2512. The electrolyte flow will first impinge on the center of the plating filter block 2514 above the inlet and be distributed throughout the flow path. As the electrolyte fluid rises up the flow path, the electrolyte will flow uniformly out of the orifice 2522 and reach the electrode ring 2502. The electrolyte fluid passes through the electrode ring 2502 and flows uniformly through the holes 2524 in the nozzle head 2004 to the surface of the wafer 2102.

図25Cは、オリフィス2522と、シャワーヘッド2006の底部上のノズルヘッドの穴2524との間の関係を示す。図25Cと図22とに示されているように、シャワーヘッド頭部2004は、穴2524が2つのオリフィス2522の間に位置しているようにシャワーヘッド2006上に重ねられている。この食い違い配置が、上述の電解質の流れが液体ブロック流アセンブリ上の各凹みの中を通ってより均一に流れることを可能にする。図25Dのシャワーヘッドの平面図に示されているように、穴2524はシャワーヘッド頭部2204(または2304または2404)上の外側リングの周りに配置されている。これらの穴2524は、さらにシャワーヘッド頭部2204上の包囲リング(enclosing ring)の内側においても、特定の用途に応じて、円形、細長い形等のような任意の形に形成されてよい。図24を参照すると、穴2524は、3つの丸穴を形成することによって生じさせられる細長い円形の形状に形成されてもよい。   FIG. 25C shows the relationship between the orifice 2522 and the nozzle head hole 2524 on the bottom of the showerhead 2006. As shown in FIGS. 25C and 22, the showerhead head 2004 is overlaid on the showerhead 2006 such that the hole 2524 is located between the two orifices 2522. This staggered arrangement allows the electrolyte flow described above to flow more uniformly through each recess on the liquid block flow assembly. As shown in the plan view of the showerhead in FIG. 25D, the holes 2524 are located around the outer ring on the showerhead head 2204 (or 2304 or 2404). These holes 2524 may also be formed in any shape, such as circular, elongated, etc., depending on the specific application, even inside the enclosing ring on the showerhead head 2204. Referring to FIG. 24, the hole 2524 may be formed in an elongated circular shape that is created by forming three round holes.

めっきフィルタブロック2514なしで、入口フレア継手2512は、その入口フレア継手の付近の上方の1つまたは複数の穴を通して直接的に電解質を配送してもよいが、このことは流路全体にわたる電解質の不均衡な分散の原因となる。電解質が1つの入口から流れているので、電解質の液圧の制御が困難である可能性がある。液体流ブロックアセンブリを使用することによって、めっきフィルタブロック2514が電解質の直接の流れを妨げ、流路全体にわたって電解質を分散させるので、この例示的な装置は、銅のような金属の溶着のための電解質のより適切な制御を実現することができる。電解質を流路全体にわたって分散させることは、等しい体積または概ね等しい体積の電解質がめっきフィルタリング2520上の各オリフィス2522から外に流れ出すことを可能にする。図25Eに示されているように、電解質が電極外側コネクタ2508から外に出て、シャワーヘッド基部2516とめっきフィルタリング2520とを通り、その次に電極リング2502の側部の周りを通り、シャワーヘッド頭部2004上の穴2524の外に流れ出る。   Without the plated filter block 2514, the inlet flare fitting 2512 may deliver electrolyte directly through one or more holes above the inlet flare joint, which is the electrolyte flow across the entire flow path. Causes an unbalanced dispersion. Since the electrolyte flows from one inlet, it may be difficult to control the fluid pressure of the electrolyte. By using a liquid flow block assembly, the exemplary filter block 2514 prevents direct flow of electrolyte and disperses the electrolyte throughout the flow path, so this exemplary apparatus is for the deposition of metals such as copper. More appropriate control of the electrolyte can be realized. Dispersing the electrolyte throughout the flow path allows equal or approximately equal volumes of electrolyte to flow out of each orifice 2522 on the plating filter 2520. As shown in FIG. 25E, the electrolyte exits the electrode outer connector 2508 and passes through the showerhead base 2516 and the plating filtering 2520 and then around the sides of the electrode ring 2502 to provide the showerhead. It flows out of the hole 2524 on the head 2004.

この例示的なシャワーヘッド装置を特定の実施形態と具体例と用途に関して説明してきたが、本発明からの逸脱なしに様々な変更と変形とが行われることが可能であるということが当業者には明らかだろう。   While this exemplary showerhead device has been described with respect to particular embodiments, examples, and applications, it will be apparent to those skilled in the art that various changes and modifications can be made without departing from the invention. Will be obvious.

VI.ウェーハをレベリングする方法および装置
別の側面では、電気研磨または電気めっき装置のような加工処理モジュールに対して半導体ウェーハをレベリングする方法および装置。一般的に、ウェーハを加工処理している間は、ウェーハの主表面が加工処理チャンバまたはツールの水平表面に対して概ね平行であるようにウェーハがレベリングされることが望ましい。例えば、加工処理装置内でウェーハを位置合せすることは、研磨またはめっきプロセスの均一性を増大させる。
VI. Method and apparatus for leveling a wafer In another aspect, a method and apparatus for leveling a semiconductor wafer relative to a processing module such as an electropolishing or electroplating apparatus. In general, while processing a wafer, it is desirable to level the wafer so that the major surface of the wafer is generally parallel to the horizontal surface of the processing chamber or tool. For example, aligning the wafer within the processing equipment increases the uniformity of the polishing or plating process.

図26Aと図26Bは、例えば加工処理チャンバのような加工処理装置に対して±0.001インチの範囲内でウェーハ2602の平行度を測定するために使用できる例示的なレベリングツール2604を示す。図26Aと図26Bとに示されているように、このレベリング装置は、一般的に、レベリングツール2604と、接地線2610と、信号線1612と、制御システム2614と、チャック2616とを含む。   FIGS. 26A and 26B show an exemplary leveling tool 2604 that can be used to measure the parallelism of the wafer 2602 within a range of ± 0.001 inches for a processing apparatus such as a processing chamber. As shown in FIGS. 26A and 26B, the leveling device generally includes a leveling tool 2604, a ground line 2610, a signal line 1612, a control system 2614, and a chuck 2616.

例示的なチャックが、1999年9月7日付で出願された標題「半導体加工物の電気研磨および/または電気めっきの最中に半導体加工物を保持および位置出しする方法および装置(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)」の米国特許第6,248,222 B1号と、2001年3月7日付で出願された標題「半導体加工物の電気研磨および/または電気めっきの最中に半導体加工物を保持および位置出しする方法および装置(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)」の米国特許第6,495,007号とに説明されており、これらの文献はその全体において本明細書に引例として組み入れられている。   An exemplary chuck filed on Sep. 7, 1999 entitled "Method and Apparatus for Holding and Positioning a Semiconductor Workpiece During Electropolishing and / or Electroplating of a Semiconductor Workpiece (METHOD AND APPARATUS FOR). HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES) and US Patent No. 6,248,222 B1 dated March 7, 2001 Method and apparatus for holding and positioning a semiconductor workpiece during electroplating (METHOD AND APPARATUS FOR H OLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES), which is incorporated by reference in its entirety, and is incorporated herein by reference. .

図26Aと図26Bとを参照すると、チャック2616は、半導体電気研磨または電気めっきプロセス中にウェーハ2602を保持する。電気研磨および/または電気めっきプロセスのより均一なプロセスを実現するために、ウェーハ2602は加工処理チャンバ2630に対して平行または概ね平行に配置され、および、特に、加工処理装置のめっきヘッドまたは研磨ノズル(図示されていない)と平行または概ね平行に配置される。レベリングツール2604がウェーハ2602の位置合せの向上を実現するためにプロセスチャンバ2630内に配置されてもよい。   Referring to FIGS. 26A and 26B, the chuck 2616 holds the wafer 2602 during a semiconductor electropolishing or electroplating process. In order to achieve a more uniform process of electropolishing and / or electroplating process, the wafer 2602 is placed parallel or generally parallel to the processing chamber 2630 and, in particular, a plating head or polishing nozzle of the processing apparatus. (Not shown) and parallel or generally parallel. A leveling tool 2604 may be placed in the process chamber 2630 to achieve improved alignment of the wafer 2602.

レベリングツール2604は、3つのセンサ2606とこれに対応する信号線2612とを含むだろう。レベリングツール2604がチャック2626の下に置かれ、および、ウェーハ2602がレベリングツール2604へと降ろされると、信号線2612が(センサ2606を介して)ウェーハ2602の表面上に形成されている薄い金属層を通して制御システム2614に対する接続を実現する。制御システム2614からの接地線2610は、ウェーハ2602の金属層に接続されている。センサ2606がその薄い金属層に接触すると、制御システム2614によって測定されることが可能な回路がセンサ2606と接地線2610との間に完成させられる。   Leveling tool 2604 will include three sensors 2606 and corresponding signal lines 2612. When the leveling tool 2604 is placed under the chuck 2626 and the wafer 2602 is lowered to the leveling tool 2604, a signal line 2612 is formed on the surface of the wafer 2602 (via the sensor 2606). Through the connection to the control system 2614. A ground line 2610 from the control system 2614 is connected to the metal layer of the wafer 2602. When sensor 2606 contacts its thin metal layer, a circuit that can be measured by control system 2614 is completed between sensor 2606 and ground line 2610.

さらに、図26Bに示されているように、レベリングツール2604は、チャック2616内のウェーハ2602の平行度を測定するのに使用するための支持体2608と、研磨ノズルとを含むと共に、ウェーハ2602の表面の付近にレベリングツール2604を位置させることができる。   In addition, as shown in FIG. 26B, the leveling tool 2604 includes a support 2608 for use to measure the parallelism of the wafer 2602 within the chuck 2616, a polishing nozzle, and the wafer 2602. A leveling tool 2604 can be positioned near the surface.

図26Cは例示的なセンサ2606の断面図を示す。センサ2606は、ホルダ2626と、止めねじ2618と、ピン調整(pin adjustment)2620と、接触ねじ(contact screw)2622と、ピン2624とを含むことが可能である。信号線2602は接触ねじ2622を介してセンサ2606に接続されている。ホルダ2626と、ピン調整2620と、ピン2624は、ステンレス鋼、チタン、タンタル、または、金のような金属または合金で作られることが可能である。   FIG. 26C shows a cross-sectional view of an exemplary sensor 2606. Sensor 2606 can include a holder 2626, a set screw 2618, a pin adjustment 2620, a contact screw 2622, and a pin 2624. The signal line 2602 is connected to the sensor 2606 via the contact screw 2622. The holder 2626, pin adjustment 2620, and pin 2624 can be made of a metal or alloy such as stainless steel, titanium, tantalum, or gold.

プロセスツールに対するウェーハ2602の整合性または平行度を測定するための1つの例示的なプロセスでは、チャック2616は、1つのセンサ2606のピン2624がウェーハ2602の導電性表面に接触するまで、レベリングツール2604に向かって下降する。この接触は、信号線2612と接地線2610と制御システム2614とを含む電気回路を完成させ、および、信号を制御システム2614に供給する。制御システム2614は、この接触の瞬間におけるチャック2616の当初(初期)位置からピンの位置までの距離を測定する。   In one exemplary process for measuring the alignment or parallelism of the wafer 2602 with respect to the process tool, the chuck 2616 is leveling tool 2604 until the pin 2624 of one sensor 2606 contacts the conductive surface of the wafer 2602. Descent toward This contact completes the electrical circuit including signal line 2612, ground line 2610, and control system 2614 and provides a signal to control system 2614. The control system 2614 measures the distance from the initial (initial) position of the chuck 2616 to the pin position at the moment of contact.

チャック2616は、第2のセンサ2606と第3のセンサ2606とがウェーハ2602の表面上に接触するまで、その下降を続ける。両方のセンサ接触に関する対応する距離が得られ、その測定プロセスが終了する。   The chuck 2616 continues to descend until the second sensor 2606 and the third sensor 2606 contact the surface of the wafer 2602. Corresponding distances for both sensor contacts are obtained and the measurement process ends.

図27に示されているように、この例示的なプロセスは、各センサ2606に関する接触の瞬間における測定された距離を表示するソフトウェアインタフェースを含んでもよい。このインタフェースはセンサ2606の位置を表示することも可能である。測定された距離の最大距離と最小距離の間の差異が小さければ小さいほど、ウェーハ2602がより近く位置合せされているかまたは平行関係にある。このデータは、チャック2616を調整するために、したがってウェーハ2602の位置を調整するために使用可能である。この調整が行われた後に、測定距離の最大値と最小値との間の差が、具体的な用途に対応する±0.001インチ等のような設計仕様の範囲内に収まるまで、この測定サイクルが繰り返されることが可能である。   As shown in FIG. 27, this exemplary process may include a software interface that displays the measured distance at the moment of contact for each sensor 2606. This interface can also display the position of sensor 2606. The smaller the difference between the maximum and minimum measured distances, the closer the wafer 2602 is aligned or in parallel. This data can be used to adjust the chuck 2616 and thus the position of the wafer 2602. After this adjustment is made, this measurement is performed until the difference between the maximum and minimum measurement distances is within design specifications such as ± 0.001 inch for the specific application. The cycle can be repeated.

この例示的なウェーハ位置合せの方法およびシステムを特定の実施形態と具体例と用途とに関して説明してきたが、様々な変更と変形とが本発明の範囲からの逸脱なしに行われてよいということが当業者には明らかだろう。   Although this exemplary wafer alignment method and system has been described with respect to particular embodiments, examples, and applications, it should be understood that various changes and modifications may be made without departing from the scope of the present invention. Will be apparent to those skilled in the art.

様々な装置と方法とシステムの上記の詳細な説明は、例示的な実施形態を示すために提供されているのであって、限定的であることを意図しているものではない。本発明の範囲内において様々な変更と変形とが可能であるということが当業者には明らかだろう。例えば、クリーニングチャンバ、光センサ、液体配送システム、エンドポイント検出器等のような異なる例示的な電気研磨および電気めっき装置が、単一のプロセスアセンブリにおいて組み合わせて使用されてもよく、または、電気研磨および/または電気めっきシステムおよび方法を強化するために別個に使用されてもよい。したがって、本発明は添付されている特許請求項によって定義されるのであって、本明細書の説明によって限定されてはならない。   The above detailed descriptions of various apparatus, methods, and systems are provided to illustrate exemplary embodiments and are not intended to be limiting. It will be apparent to those skilled in the art that various modifications and variations can be made within the scope of the present invention. For example, different exemplary electropolishing and electroplating devices such as cleaning chambers, light sensors, liquid delivery systems, endpoint detectors, etc. may be used in combination in a single process assembly or electropolishing. And / or may be used separately to enhance the electroplating system and method. Accordingly, the invention is defined by the appended claims and should not be limited by the description herein.

図1は、半導体ウェーハを電気研磨および/または電気めっきするために使用できる例示的な半導体加工処理アセンブリを示す。FIG. 1 illustrates an exemplary semiconductor processing assembly that can be used for electropolishing and / or electroplating a semiconductor wafer. 図2は、半導体ウェーハを移動させるための例示的なエンドエフェクタを含むロボットを示す。FIG. 2 shows a robot including an exemplary end effector for moving a semiconductor wafer. 図3は、例示的なエンドエフェクタの平面図を示す。FIG. 3 shows a plan view of an exemplary end effector. 図4Aは、例示的なエンドエフェクタの平面図を示す。FIG. 4A shows a plan view of an exemplary end effector. 図4Bは、例示的なエンドエフェクタの断面図を示す。FIG. 4B shows a cross-sectional view of an exemplary end effector. 図5は、例示的なエンドエフェクタの平面図を示す。FIG. 5 shows a plan view of an exemplary end effector. 図6は、例示的なエンドエフェクタの平面図を示す。FIG. 6 shows a plan view of an exemplary end effector. 図7は、例示的なエンドエフェクタの平面図を示す。FIG. 7 shows a plan view of an exemplary end effector. 図8は、例示的な真空カップの側面図を示す。FIG. 8 shows a side view of an exemplary vacuum cup. 図9Aは、ドームカバーを有する例示的なクリーニングチャンバモジュールを示す。FIG. 9A shows an exemplary cleaning chamber module having a dome cover. 図9Bは、クリーニングチャンバモジュールの部分内部図を示す。FIG. 9B shows a partial internal view of the cleaning chamber module. 図9Cは、クリーニングノズルの詳細図を伴う、クリーニングチャンバモジュールの分解図を示す。FIG. 9C shows an exploded view of the cleaning chamber module with a detailed view of the cleaning nozzle. 図10Aは、例示的な縁部クリーニングアセンブリの平面図を示す。FIG. 10A shows a top view of an exemplary edge cleaning assembly. 図10Bは、例示的な縁部クリーニングアセンブリの側面図を示す。FIG. 10B shows a side view of an exemplary edge cleaning assembly. 図11Aは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11A shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Bは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11B shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Cは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11C shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Dは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11D shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Eは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11E shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Fは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11F shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Gは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11G shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図11Hは、斜面クリーニングアセンブリの一部分として含まれる例示的なノズルヘッドの図を示す。FIG. 11H shows a diagram of an exemplary nozzle head included as part of a bevel cleaning assembly. 図12は、クリーニングチャンバモジュールの一部分として含まれる例示的なチャックモータアセンブリの分解図を示す。FIG. 12 shows an exploded view of an exemplary chuck motor assembly included as part of a cleaning chamber module. 図13は、クリーニングチャンバモジュール内に含まれているクリーニングチャンバ窓の分解図を示す。FIG. 13 shows an exploded view of the cleaning chamber window contained within the cleaning chamber module. 図14は、クリーニングチャンバモジュール内に含まれている例示的な光センサの分解図を示す。FIG. 14 shows an exploded view of an exemplary photosensor included in the cleaning chamber module. 図15は、チャック内のウェーハの適正な配置を判定するための例示的な方法を示す。FIG. 15 illustrates an exemplary method for determining proper placement of a wafer within a chuck. 図16Aは、例示的なウェーハクリーニングプロセスを示す。FIG. 16A illustrates an exemplary wafer cleaning process. 図16Bは、例示的なウェーハクリーニングプロセスを示す。FIG. 16B illustrates an exemplary wafer cleaning process. 図16Cは、例示的なウェーハクリーニングプロセスを示す。FIG. 16C illustrates an exemplary wafer cleaning process. 図17Aは、例示的なウェーハクリーニングプロセスを示す。FIG. 17A illustrates an exemplary wafer cleaning process. 図17Bは、例示的なウェーハクリーニングプロセスを示す。FIG. 17B illustrates an exemplary wafer cleaning process. 図17Cは、例示的なウェーハクリーニングプロセスを示す。FIG. 17C illustrates an exemplary wafer cleaning process. 図18は、例示的なプロセスチャンバアセンブリの分解図を示す。FIG. 18 shows an exploded view of an exemplary process chamber assembly. 図19は、図18に示されているプロセスチャンバアセンブリ内に含まれることが可能な例示的なプロセス駆動システムの分解図を示す。FIG. 19 shows an exploded view of an exemplary process drive system that can be included in the process chamber assembly shown in FIG. 図20は、エネルギー増強要素を有する例示的なノズルを示す。FIG. 20 shows an exemplary nozzle having an energy enhancing element. 図21は、例示的な電気めっき装置の分解図を示す。FIG. 21 shows an exploded view of an exemplary electroplating apparatus. 図22は、図21に示されている例示的なめっきシャワーヘッドアセンブリの分解図を示す。FIG. 22 shows an exploded view of the exemplary plating showerhead assembly shown in FIG. 図23は、300mmウェーハ用の例示的なめっきシャワーヘッドの分解図を示す。FIG. 23 shows an exploded view of an exemplary plating showerhead for a 300 mm wafer. 図24は、200mmウェーハ用の例示的なめっきシャワーヘッドの分解図を示す。FIG. 24 shows an exploded view of an exemplary plating showerhead for a 200 mm wafer. 図25Aは、図22−24に示されているシャワーヘッドの図を示す。FIG. 25A shows a view of the showerhead shown in FIGS. 22-24. 図25Bは、図22−24に示されているシャワーヘッドの図を示す。FIG. 25B shows a view of the showerhead shown in FIGS. 22-24. 図25Cは、図22−24に示されているシャワーヘッドの図を示す。FIG. 25C shows a view of the showerhead shown in FIGS. 22-24. 図25Dは、図22−24に示されているシャワーヘッドの図を示す。FIG. 25D shows a view of the showerhead shown in FIGS. 22-24. 図25Eは、図22−24に示されているシャワーヘッドの図を示す。FIG. 25E shows a view of the showerhead shown in FIGS. 22-24. 図26Aは、例示的なレベリングツールとウェーハチャックの平面図を示す。FIG. 26A shows a top view of an exemplary leveling tool and wafer chuck. 図26Bは、例示的なレベリングツールとウェーハチャックの断面図を示す。FIG. 26B shows a cross-sectional view of an exemplary leveling tool and wafer chuck. 図26Cは、図26Aと図26Bとに示されている例示的なセンサの断面図を示す。FIG. 26C shows a cross-sectional view of the exemplary sensor shown in FIGS. 26A and 26B. 図27は、レベリングツールのためのソフトウェアパネルの例示的な図を示す。FIG. 27 shows an exemplary view of a software panel for a leveling tool.

符号の説明Explanation of symbols

100 アセンブリ
102 電気シャーシアセンブリ
106 クリーニングモジュールアセンブリ
108 メインフレーム
111 AC制御アセンブリ
112 液体配送システム
114 気体制御システム
132 フロントフレーム
DESCRIPTION OF SYMBOLS 100 Assembly 102 Electric chassis assembly 106 Cleaning module assembly 108 Main frame 111 AC control assembly 112 Liquid delivery system 114 Gas control system 132 Front frame

Claims (123)

半導体ウェーハを保持する装置であって、
エンドエフェクタ部材の一方の側面上に位置している穴と、
前記穴から気体を排出するための、前記穴に接続されている通路と、
気体が前記穴から排出される時に前記エンドエフェクタと前記半導体ウェーハとの間に一時的なシールを形成するように構成されている、前記穴の周りに配置されているカップ
とを含む装置。
An apparatus for holding a semiconductor wafer,
A hole located on one side of the end effector member;
A passage connected to the hole for discharging gas from the hole;
An apparatus comprising a cup disposed around the hole configured to form a temporary seal between the end effector and the semiconductor wafer when gas is exhausted from the hole.
中に形成されている溝を有するキャップをさらに含み、および、前記キャップは前記穴の上方に配置されている請求項1に記載の装置。   The apparatus of claim 1, further comprising a cap having a groove formed therein, and wherein the cap is disposed over the hole. 前記キャップは円形に形成されている請求項2に記載の装置。   The apparatus of claim 2, wherein the cap is circular. 前記真空通路に連結されている2つ以上の穴をさらに含む請求項1に記載の装置。   The apparatus of claim 1, further comprising two or more holes connected to the vacuum passage. 前記真空通路に連結されておりかつ単一のカップ内の2つ以上の穴をさらに含む請求項1に記載の装置。   The apparatus of claim 1, further comprising two or more holes connected to the vacuum passage and in a single cup. 前記カップは可とう性材料を含む請求項1に記載の装置。   The apparatus of claim 1, wherein the cup comprises a flexible material. 前記カップはエラストマー材料を含む請求項1に記載の装置。   The apparatus of claim 1, wherein the cup comprises an elastomeric material. 前記カップは、前記エンドエフェクタ部材の表面から遠ざかって延びる請求項1に記載の装置。   The apparatus of claim 1, wherein the cup extends away from a surface of the end effector member. 前記カップは円形の形状に形成されている請求項1に記載の装置。   The apparatus according to claim 1, wherein the cup is formed in a circular shape. 前記カップは長円の形状に形成されている請求項1に記載の装置。   The apparatus according to claim 1, wherein the cup is formed in an oval shape. 前記カップは馬蹄形に形成されている請求項1に記載の装置。   The apparatus of claim 1, wherein the cup is formed in a horseshoe shape. 前記エンドエフェクタは前記ロボットに機械的に連結されており、および、前記カップは前記エンドエフェクタの遠位端部に配置されている請求項1に記載の装置。   The apparatus of claim 1, wherein the end effector is mechanically coupled to the robot and the cup is disposed at a distal end of the end effector. 前記エンドエフェクタの前記遠位端部は馬蹄形に形成されている請求項1に記載の装置。   The apparatus of claim 1, wherein the distal end of the end effector is formed in a horseshoe shape. 前記真空通路は前記エンドエフェクタの本体と一体状に形成されている請求項1に記載の装置。   The apparatus according to claim 1, wherein the vacuum passage is formed integrally with a body of the end effector. 前記真空通路は真空源に連結されている請求項1に記載の装置。   The apparatus of claim 1, wherein the vacuum passage is connected to a vacuum source. 前記真空通路は、さらに、前記真空通路の中に気体を送り込むための気体供給源に接続されている請求項15に記載の装置。   The apparatus of claim 15, wherein the vacuum passage is further connected to a gas supply for feeding gas into the vacuum passage. 半導体ウェーハを保持する方法であって、
ウェーハの主表面の付近にエンドエフェクタを配置することと、
前記ウェーハの前記主表面とは反対側の前記エンドエフェクタの主表面上に配置されている可とう性カップを排気することと、
前記真空カップと前記ウェーハの間に一時的なシールを生じさせること
とを含む方法。
A method for holding a semiconductor wafer comprising:
Placing an end effector near the main surface of the wafer;
Evacuating a flexible cup disposed on the main surface of the end effector opposite the main surface of the wafer;
Creating a temporary seal between the vacuum cup and the wafer.
前記可とう性カップは前記ウェーハの上部主表面に隣接しており、および、重力に対抗して前記ウェーハを保持するのに十分なだけ排気される請求項17に記載の方法。   The method of claim 17, wherein the flexible cup is adjacent to an upper major surface of the wafer and is evacuated sufficiently to hold the wafer against gravity. 前記可とう性カップは前記ウェーハの下部主表面に隣接しており、および、周囲環境よりも低い圧力に排気される請求項17に記載の方法。   The method of claim 17, wherein the flexible cup is adjacent to a lower major surface of the wafer and evacuated to a lower pressure than the ambient environment. 前記可とう性カップは円形の形状である請求項17に記載の方法。   The method of claim 17, wherein the flexible cup has a circular shape. 前記ウェーハを解放するために前記可とう性カップの中に気体を送り込むことをさらに含む請求項17に記載の方法。   The method of claim 17, further comprising pumping a gas into the flexible cup to release the wafer. 前記可とう性カップは、前記空洞内に形成されている穴を通して排気される請求項17に記載の方法。   The method of claim 17, wherein the flexible cup is evacuated through a hole formed in the cavity. 前記可とう性カップは前記穴の上方に配置されているキャップを含み、および、前記キャップはその中に形成されている溝を有する請求項17に記載の方法。   The method of claim 17, wherein the flexible cup includes a cap disposed over the hole, and the cap has a groove formed therein. 半導体ウェーハをクリーニングする装置であって、
ウェーハの主表面に液体と気体を供給するように構成されているノズルヘッドを含むウェーハ縁部クリーニングアセンブリを備え、
前記液体は前記ウェーハの前記主表面の外側縁部の付近で供給され、
前記気体は、前記液体が供給される場所の半径方向内方に供給される
装置。
An apparatus for cleaning a semiconductor wafer,
A wafer edge cleaning assembly including a nozzle head configured to supply liquid and gas to the major surface of the wafer;
The liquid is supplied near an outer edge of the main surface of the wafer;
The apparatus in which the gas is supplied radially inward of the place where the liquid is supplied.
前記気体と前記液体は隣接するノズルから供給される請求項24に記載の装置。   The apparatus according to claim 24, wherein the gas and the liquid are supplied from adjacent nozzles. 前記気体は窒素ガスであり、および、前記液体は金属エッチング化学薬品を含む請求項24に記載の装置。   25. The apparatus of claim 24, wherein the gas is nitrogen gas and the liquid includes a metal etching chemical. 前記ノズルは、前記液体が前記ウェーハの前記主表面上で半径方向内方に拡散することを防止するために前記気体を供給するように構成されている請求項24に記載の装置。   25. The apparatus of claim 24, wherein the nozzle is configured to supply the gas to prevent the liquid from diffusing radially inward on the major surface of the wafer. 前記ノズルは、前記液体が前記気体を横切ることを防止するために気体のカーテンの形で前記気体を供給するように構成されている請求項24に記載の装置。   25. The apparatus of claim 24, wherein the nozzle is configured to supply the gas in the form of a gas curtain to prevent the liquid from crossing the gas. 前記ノズルは、前記ノズルの水平スパンと前記ウェーハの対向する主表面との間に気体障壁を生じさせるために、前記ウェーハの前記主表面に対して平行な水平スパンを含む請求項24に記載の装置。   25. The nozzle of claim 24, wherein the nozzle includes a horizontal span parallel to the major surface of the wafer to create a gas barrier between the horizontal span of the nozzle and the opposing major surface of the wafer. apparatus. 前記水平スパンと前記ウェーハの前記主表面との間の距離が約0.1mmから約2.0mmである請求項29に記載の装置。   30. The apparatus of claim 29, wherein the distance between the horizontal span and the major surface of the wafer is from about 0.1 mm to about 2.0 mm. 前記水平スパンと前記ウェーハの前記主表面との間の距離は約1.5mmである請求項29に記載の装置。   30. The apparatus of claim 29, wherein the distance between the horizontal span and the major surface of the wafer is about 1.5 mm. さらに、前記ノズルに隣接した前記ウェーハを回転させるチャックを含む請求項24に記載の装置。   25. The apparatus of claim 24, further comprising a chuck that rotates the wafer adjacent to the nozzle. 前記チャックアセンブリは、前記チャックが回転するにつれて前記ウェーハを固定するように構成されているポジショナを含む請求項32に記載の装置。   The apparatus of claim 32, wherein the chuck assembly includes a positioner configured to secure the wafer as the chuck rotates. 前記ポジショナは、機械的に互いに連結されている第1の部分と第2の部分とを含み、および、前記第1の部分は、回転中に前記第1の部分が外向きに移動しかつ前記第2の部分が内方に移動して前記ウェーハを固定するように、前記第2の部分よりも大きい質量を有する請求項33に記載の装置。   The positioner includes a first portion and a second portion that are mechanically coupled to each other, and the first portion moves outwardly during rotation and the first portion and 34. The apparatus of claim 33, having a greater mass than the second portion, such that the second portion moves inward to secure the wafer. 前記ポジショナは回転軸線を含み、および、前記第1の部分は前記回転軸線の下方に位置しており、前記第2の部分は前記回転軸線の上方に位置している請求項34に記載の装置。   35. The apparatus of claim 34, wherein the positioner includes a rotational axis, and wherein the first portion is located below the rotational axis and the second portion is located above the rotational axis. . 半導体ウェーハをクリーニングする方法であって、
中心軸線を中心としてウェーハを回転させることと前記ウェーハの主表面に流体を送ることとを含む縁部クリーニングプロセスと、
前記エッチング化学薬品が送られる場所に隣接してかつその半径方向に内方に位置している前記ウェーハの前記主表面に気体を送ること
とを含む方法。
A method for cleaning a semiconductor wafer comprising:
An edge cleaning process comprising rotating the wafer about a central axis and directing fluid to the major surface of the wafer;
Delivering a gas to the major surface of the wafer located adjacent to and radially inward of the location to which the etch chemistry is delivered.
前記気体は、前記半導体ウェーハ上を半径方向内方に流体が流れる可能性を低減させる請求項36に記載の方法。   37. The method of claim 36, wherein the gas reduces the likelihood of fluid flowing radially inward over the semiconductor wafer. 前記気体と前記液体は同時に供給される請求項36に記載の方法。   37. The method of claim 36, wherein the gas and the liquid are supplied simultaneously. 前記気体は、前記流体を前記ウェーハに送るプロセスの前とその最中に前記ウェーハに送られる請求項36に記載の方法。   37. The method of claim 36, wherein the gas is delivered to the wafer before and during the process of delivering the fluid to the wafer. 前記気体は、前記流体を前記ウェーハに送るプロセスの最中とその後に前記ウェーハに送られる請求項36に記載の方法。   37. The method of claim 36, wherein the gas is delivered to the wafer during and after the process of delivering the fluid to the wafer. 前記気体は窒素気体を含み、および、前記液体は金属エッチング化学薬品を含む請求項36に記載の方法。   37. The method of claim 36, wherein the gas comprises nitrogen gas and the liquid comprises a metal etch chemistry. 前記液体は前記ウェーハの前記主表面上の斜面領域に供給される請求項36に記載の方法。   37. The method of claim 36, wherein the liquid is supplied to a slope region on the major surface of the wafer. 前記気体は、前記斜面領域の半径方向内側縁部に供給される請求項42に記載の方法。   43. The method of claim 42, wherein the gas is supplied to a radially inner edge of the ramp region. 前記気体は、前記液体が供給される場所に隣接した領域内に供給され、および、前記領域は、前記ウェーハ上を液体が半径方向内方に流れる可能性を低減させるために、半径方向の幅と周方向の長さとを有する請求項36に記載の方法。   The gas is supplied in an area adjacent to where the liquid is supplied, and the area has a radial width to reduce the possibility of liquid flowing radially inward over the wafer. 37. The method of claim 36, having a circumferential length. 前記チャックは、前記縁部クリーニングプロセスの最中に約50rpmから約500rpmの間で前記ウェーハを回転させる請求項36に記載の方法。   37. The method of claim 36, wherein the chuck rotates the wafer between about 50 rpm and about 500 rpm during the edge cleaning process. 前記チャックは、前記縁部クリーニングプロセスの最中に約350rpmで前記ウェーハを回転させる請求項36に記載の方法。   37. The method of claim 36, wherein the chuck rotates the wafer at about 350 rpm during the edge cleaning process. 前記ウェーハの両方の主表面にDI水を供給することをさらに含む請求項36に記載の方法。   38. The method of claim 36, further comprising supplying DI water to both major surfaces of the wafer. 前記ウェーハを約1,000rpmから約3,000rpmで回転させることによって前記ウェーハを乾燥させることと、前記ウェーハの前記主表面に気体の流れを供給することとをさらに含む請求項36に記載の方法。   37. The method of claim 36, further comprising drying the wafer by rotating the wafer from about 1,000 rpm to about 3,000 rpm and providing a flow of gas to the major surface of the wafer. . 液体が前記ウェーハを保持するポジショナに直接接触しないように、前記ウェーハを振動させながら、3分の1の間隔で前記ウェーハの裏側に前記液体を送ることをさらに含む請求項36に記載の方法。   37. The method of claim 36, further comprising delivering the liquid to the back side of the wafer at a one-third interval while vibrating the wafer such that the liquid does not directly contact a positioner that holds the wafer. 液体が前記ウェーハを保持するポジショナに直接接触しないように、前記ウェーハの裏側に対してパルス状に前記液体を送ることをさらに含む請求項36に記載の方法。   38. The method of claim 36, further comprising pumping the liquid against the backside of the wafer such that the liquid does not directly contact a positioner that holds the wafer. 前記ウェーハが前記チャックに対して相対移動するように十分な加速度で、前記ウェーハを保持するチャックを回転させることと、クリーニングプロセスを繰り返すこととをさらに含む請求項36に記載の方法。   37. The method of claim 36, further comprising rotating the chuck holding the wafer with sufficient acceleration such that the wafer moves relative to the chuck and repeating the cleaning process. チャック上のウェーハの位置出しを決定する方法であって、
チャック上に置かれているウェーハを回転させることと、
前記ウェーハが回転させられる時にセンサによって前記ウェーハの主表面の特徴を測定することと、
前記ウェーハが適正に位置出しされているかどうかを、前記測定された特徴に基づいて判定すること
とを含む方法。
A method for determining positioning of a wafer on a chuck,
Rotating the wafer placed on the chuck;
Measuring characteristics of the main surface of the wafer by means of a sensor as the wafer is rotated;
Determining whether the wafer is properly positioned based on the measured characteristics.
前記センサは、前記ウェーハの前記表面からの光の反射率を測定する光センサである請求項52に記載の方法。   53. The method of claim 52, wherein the sensor is an optical sensor that measures the reflectance of light from the surface of the wafer. 前記反射率が閾値よりも低く変化する場合に、前記ウェーハが前記チャック上に適正に位置していないということを判定する請求項52に記載の方法。   53. The method of claim 52, wherein if the reflectivity changes below a threshold, it is determined that the wafer is not properly positioned on the chuck. 前記センサは、前記センサと前記ウェーハ表面と間の距離を測定する近接センサである請求項52に記載の方法。   53. The method of claim 52, wherein the sensor is a proximity sensor that measures a distance between the sensor and the wafer surface. 前記センサは音響センサである請求項52に記載の方法。   53. The method of claim 52, wherein the sensor is an acoustic sensor. 前記センサは渦電流センサである請求項52に記載の方法。   53. The method of claim 52, wherein the sensor is an eddy current sensor. 半導体ウェーハの電気研磨プロセスまたは電気めっきプロセスのためのプロセスチャンバであって、
前記ウェーハの主表面に加工処理液体を供給するように構成されている加工処理ノズルの反対側にウェーハを位置させるためのチャックアセンブリであって、ウェーハを加工処理する時に前記加工処理ノズルに対して第1の方向に平行移動するチャックアセンブリと、
前記チャックアセンブリと共に平行移動するように前記チャックアセンブリに機械的に連結されているシュラウド
とを備えるプロセスチャンバ。
A process chamber for an electropolishing process or electroplating process of a semiconductor wafer,
A chuck assembly for positioning a wafer on the opposite side of a processing nozzle configured to supply a processing liquid to a main surface of the wafer, wherein the chuck assembly is positioned relative to the processing nozzle when processing the wafer. A chuck assembly that translates in a first direction;
And a shroud mechanically coupled to the chuck assembly for translation with the chuck assembly.
前記シュラウドは前記チャックアセンブリに磁気的に連結されている請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, wherein the shroud is magnetically coupled to the chuck assembly. 前記チャックアセンブリは、前記液体が前記ウェーハ上に供給される場所を調整するために、前記第1の方向に対して垂直な第2の方向に平行移動する請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, wherein the chuck assembly translates in a second direction perpendicular to the first direction to adjust where the liquid is supplied onto the wafer. 電気研磨プロセス中に、前記チャックアセンブリは前記ノズルから約0.5mmから約10mmの距離に前記ウェーハの前記主表面を位置させる請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, wherein the chuck assembly positions the major surface of the wafer at a distance of about 0.5 mm to about 10 mm from the nozzle during an electropolishing process. 前記距離は約5mmである請求項61に記載のプロセスチャンバ。   62. The process chamber of claim 61, wherein the distance is about 5 mm. 電気めっきプロセス中に、前記チャックアセンブリは前記ノズルから約0.5mmから約20mmの距離に前記ウェーハの前記主表面を位置させる請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, wherein the chuck assembly positions the major surface of the wafer at a distance of about 0.5 mm to about 20 mm from the nozzle during an electroplating process. 前記距離は約5mmである請求項63に記載のプロセスチャンバ。   64. The process chamber of claim 63, wherein the distance is about 5 mm. 光センサと、前記ウェーハの前記主表面上の金属層を測定するように構成されているエンドポイント検出器とをさらに含む請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, further comprising an optical sensor and an endpoint detector configured to measure a metal layer on the major surface of the wafer. 前記チャックアセンブリは前記プロセスチャンバに磁気的に連結されている請求項58に記載のプロセスチャンバ。   59. The process chamber of claim 58, wherein the chuck assembly is magnetically coupled to the process chamber. 前記チャックアセンブリは前記プロセスチャンバから係合解除されることができる請求項66に記載のプロセスチャンバ。   68. The process chamber of claim 66, wherein the chuck assembly can be disengaged from the process chamber. 電気めっきまたは電気研磨装置であって、
加工処理液体の流れを方向付けるノズルと、
金属薄膜表面におけるプロセス流体の攪拌を強化するように構成されているエネルギー要素
とを含む装置。
An electroplating or electropolishing device,
A nozzle that directs the flow of processing liquid;
And an energy element configured to enhance agitation of the process fluid at the surface of the metal film.
前記エネルギー要素は前記ノズルに機械的に連結されている請求項68に記載の装置。   69. The apparatus of claim 68, wherein the energy element is mechanically coupled to the nozzle. 前記エネルギー要素は、超音波変換器、マグナソニック(magnasonic)変換器、レーザ源、赤外熱源、マイクロ波源、および、磁石源の少なくとも1つを含む請求項68に記載の装置。   69. The apparatus of claim 68, wherein the energy element comprises at least one of an ultrasonic transducer, a magnasonic transducer, a laser source, an infrared heat source, a microwave source, and a magnet source. エネルギー要素は、15KHzから110MHzの範囲内で動作するように構成されている超音波変換器を含む請求項68に記載の装置。   69. The apparatus of claim 68, wherein the energy element comprises an ultrasonic transducer configured to operate in the range of 15 KHz to 110 MHz. エネルギー要素は、1W/cm2から100W/cm2の範囲内で動作するように構成されているレーザを含み、前記レーザはウェーハ上の金属薄膜の表面に向けられている請求項68に記載の装置。 69. The energy element comprises a laser configured to operate in the range of 1 W / cm < 2 > to 100 W / cm < 2 >, wherein the laser is directed to a surface of a thin metal film on the wafer. apparatus. レーザによって超音波を刺激することによって金属薄膜の厚さを測定することをさらに含む請求項68に記載の装置。   69. The apparatus of claim 68, further comprising measuring the thickness of the metal thin film by stimulating ultrasound with a laser. エネルギー要素は、1W/cm2から100W/cm2の範囲内で動作するように構成されている赤外線源を含み、赤外線源はウェーハ上の金属薄膜の表面に向けられている請求項68に記載の装置。 69. The energy element includes an infrared source configured to operate in the range of 1 W / cm < 2 > to 100 W / cm < 2 >, wherein the infrared source is directed to the surface of the metal film on the wafer. Equipment. 前記金属薄膜表面の表面温度を測定するための赤外線センサをさらに含む請求項68に記載の装置。   69. The apparatus of claim 68, further comprising an infrared sensor for measuring a surface temperature of the metal thin film surface. エネルギー要素は、ウェーハ上の金属薄膜の表面において前記プロセス流体中に電流を集束させるように構成されている磁気源を含む請求項68に記載の装置。   69. The apparatus of claim 68, wherein the energy element comprises a magnetic source configured to focus a current in the process fluid at the surface of the thin metal film on the wafer. 半導体ウェーハ上において金属層を電気研磨または電気めっきする方法であって、
ウェーハを保持するウェーハチャックを回転させる動作と、
ウェーハの表面上の金属層に加工処理流体の流れを送る動作と、
前記加工処理流体の流れに対して前記ウェーハを平行移動させる動作と、
前記ウェーハと共にシュラウドを平行移動させる動作
とを含み、
前記シュラウドとウェーハチャックは機械的に連結されている方法。
A method for electropolishing or electroplating a metal layer on a semiconductor wafer, comprising:
An operation of rotating the wafer chuck holding the wafer;
Sending a flow of processing fluid to a metal layer on the surface of the wafer;
An operation of translating the wafer relative to the flow of the processing fluid;
Translating the shroud with the wafer,
The shroud and the wafer chuck are mechanically connected.
前記シュラウドとウェーハチャックは磁気的に連結されており、および、分離することが可能である請求項77に記載の方法。   78. The method of claim 77, wherein the shroud and wafer chuck are magnetically coupled and can be separated. 前記ウェーハは、前記ウェーハの前記主表面に平行な方向に平行移動させられ、および、一定不変の線速度で回転させられる請求項77に記載の方法。   78. The method of claim 77, wherein the wafer is translated in a direction parallel to the major surface of the wafer and rotated at a constant linear velocity. エンドポイント検出器によって前記金属層の反射率を測定することと、金属薄膜厚さプロファイルを生じさせることとをさらに含む請求項77に記載の方法。   78. The method of claim 77, further comprising measuring the reflectivity of the metal layer with an endpoint detector and generating a metal film thickness profile. 決定された金属薄膜厚さプロファイルに基づいて前記電流の流れを調整することをさらに含む請求項77に記載の方法。   78. The method of claim 77, further comprising adjusting the current flow based on the determined metal film thickness profile. 電気研磨プロセスが、
a)前記ウェーハ上の金属薄膜の所望の厚さを決定することと、
b)前記ウェーハ上の前記金属薄膜の一部分を除去することと、
c)前記金属薄膜の厚さを測定することと、
d)前記金属薄膜厚さが前記所望の厚さよりも大きい場合に、前記所望の厚さが測定されるまで、b)とc)とd)を繰り返すこと
とを含む請求項77に記載の方法。
The electropolishing process
a) determining a desired thickness of the metal film on the wafer;
b) removing a portion of the metal film on the wafer;
c) measuring the thickness of the metal thin film;
78. The method of claim 77, comprising d) repeating b), c), and d) until the desired thickness is measured if the metal film thickness is greater than the desired thickness. .
前記金属薄膜厚さはエンドポイント検出器によって測定される請求項82に記載の方法。   83. The method of claim 82, wherein the metal film thickness is measured by an endpoint detector. 前記金属薄膜厚さは、前記金属薄膜の表面にレーザを送ることによって生じさせれる超音波を測定することによって測定される請求項82に記載の方法。   83. The method of claim 82, wherein the metal film thickness is measured by measuring ultrasonic waves generated by sending a laser to the surface of the metal film. c)において前記金属薄膜厚さが薄すぎると判定される場合に、前記ウェーハを電気めっきすることをさらに含む請求項82に記載の方法。   83. The method of claim 82, further comprising electroplating the wafer if it is determined in c) that the metal film thickness is too thin. 電気研磨プロセスにおいて、前記チャックの回転速度が、前記ウェーハと前記ウェーハの前記主表面に平行なノズルとの間の直線移動距離に関係して変化させられる請求項77に記載の方法。   78. The method of claim 77, wherein in an electropolishing process, the rotational speed of the chuck is varied in relation to the linear travel distance between the wafer and a nozzle parallel to the major surface of the wafer. 電気研磨プロセスにおいて、前記チャックの回転速度が、電気研磨プロセス液体の電流密度との関係において変化させられる請求項77に記載の方法。   78. The method of claim 77, wherein in an electropolishing process, the rotational speed of the chuck is varied in relation to the current density of the electropolishing process liquid. 電気研磨プロセスにおいて、前記チャックの回転速度が、前記測定された金属薄膜厚さプロファイルと、所望の厚さプロファイルと、研磨される前記ウェーハの位置ととの関係において変化させられる請求項77に記載の方法。   78. In an electropolishing process, the rotational speed of the chuck is varied in relation to the measured metal film thickness profile, a desired thickness profile, and the position of the wafer being polished. the method of. 前記チャックは定線速度モードで回転させられる請求項77に記載の方法。   78. The method of claim 77, wherein the chuck is rotated in a constant linear velocity mode. 前記チャックは定回転モードで回転させられる請求項77に記載の方法。   78. The method of claim 77, wherein the chuck is rotated in a constant rotation mode. 前記チャックは定遠心力モードで回転させられる請求項77に記載の方法。   78. The method of claim 77, wherein the chuck is rotated in a constant centrifugal force mode. ウェーハを電気めっきする装置であって、
プロセス液を供給するシャワーヘッドを備え、前記シャワーヘッドは、
前記プロセス流体を受け入れる入口と、
前記入口に組み合わされており、かつ、前記入口と複数のオリフィスとの間に配置されている流路と、
フィルタ要素
とを含み、
前記フィルタ要素は、前記入口の中に入る前記プロセス流体を前記流路全体にわたって分散させるように、および、前記複数のオリフィスから均一に流れるように、前記流路内に配置されている
装置。
An apparatus for electroplating a wafer,
A shower head for supplying a process liquid;
An inlet for receiving the process fluid;
A flow path combined with the inlet and disposed between the inlet and the plurality of orifices;
Filter elements and
The filter element is disposed within the flow path so that the process fluid entering the inlet is distributed throughout the flow path and flows uniformly from the plurality of orifices.
複数の入口と複数のオリフィスとの間に配置されており、かつ、少なくとも1つの前記入口が各流路に関連付けられている複数の流路と、
各流路の全体にわたって前記加工処理流体を分散させる複数のフィルタ要素とをさらに含む請求項92に記載の装置。
A plurality of flow paths disposed between the plurality of inlets and the plurality of orifices, wherein at least one of the inlets is associated with each flow path;
94. The apparatus of claim 92, further comprising a plurality of filter elements that disperse the processing fluid throughout each flow path.
前記フィルタ要素は前記入口の反対側に配置されている請求項92に記載の装置。   93. The apparatus according to claim 92, wherein the filter element is disposed on the opposite side of the inlet. 前記フィルタ要素は、前記入口の反対側に配置されているブロッカープレート(blocker plate)である請求項92に記載の装置。   94. The apparatus of claim 92, wherein the filter element is a blocker plate disposed on the opposite side of the inlet. 前記シャワーヘッドは、300mmウェーハまたは200mmウェーハのために構成されている請求項92に記載の装置。   The apparatus of claim 92, wherein the showerhead is configured for a 300 mm wafer or a 200 mm wafer. 前記複数のオリフィスに隣接してかつ前記流路の外側に配置されている電極リングをさらに含む請求項92に記載の装置。   94. The apparatus of claim 92, further comprising an electrode ring disposed adjacent to the plurality of orifices and outside the flow path. 前記電極リングは防食性の金属または合金を含む請求項97に記載の装置。   98. The apparatus of claim 97, wherein the electrode ring comprises an anticorrosive metal or alloy. 前記シャワーヘッド電極リングの上方に配置されている、複数のノズル穴を有するノズルヘッドをさらに含む請求項97に記載の装置。   98. The apparatus of claim 97, further comprising a nozzle head having a plurality of nozzle holes disposed above the showerhead electrode ring. 前記複数のノズル穴は前記複数のオリフィスに対して片寄っている請求項98に記載の装置。   99. The apparatus of claim 98, wherein the plurality of nozzle holes are offset relative to the plurality of orifices. 半導体ウェーハを電気めっきする方法であって、
プロセス液を分散させるための複数の穴を含む流路内に、入口を通して前記プロセス液を受け入れる動作と、
前記プロセス液が前記複数のオリフィスを均一に通過するように、前記入口を通して受け入れられた前記プロセス液を前記流路の全体にわたって分散させる動作
とを含む方法。
A method for electroplating a semiconductor wafer comprising:
Receiving the process liquid through an inlet into a flow path including a plurality of holes for dispersing the process liquid;
Dispersing the process liquid received through the inlet throughout the flow path such that the process liquid passes uniformly through the plurality of orifices.
複数の入口と複数のオリフィスとの間に配置されておりかつ少なくとも1つの入口が各流路に関連付けられている複数の流路において、プロセス液を受け入れることと、
受け入れられた前記プロセス液を各流路の全体にわたって分散させること
とをさらに含む請求項101に記載の方法。
Receiving process liquid in a plurality of flow paths disposed between the plurality of inlets and the plurality of orifices and having at least one inlet associated with each flow path;
102. The method of claim 101, further comprising dispersing the received process liquid throughout each flow path.
前記プロセス液は電解質流体である請求項101に記載の方法。   102. The method of claim 101, wherein the process liquid is an electrolyte fluid. 前記プロセス液は、前記入口の反対側に位置しているフィルタ要素によって分散させられる請求項101に記載の方法。   102. The method of claim 101, wherein the process liquid is dispersed by a filter element located on the opposite side of the inlet. 前記フィルタ要素はブロッカープレートである請求項104に記載の方法。   105. The method of claim 104, wherein the filter element is a blocker plate. 300mmウェーハまたは200mmウェーハを電気めっきすることをさらに含む請求項101に記載の方法。   102. The method of claim 101, further comprising electroplating a 300mm wafer or a 200mm wafer. 前記プロセス流体が前記複数のオリフィスから供給され終わった後に、前記プロセス流体を電極リングの上方を通過させることをさらに含む請求項101に記載の方法。   102. The method of claim 101, further comprising passing the process fluid over an electrode ring after the process fluid has been supplied from the plurality of orifices. 前記電極リングは防食性の金属または合金を含む請求項107に記載の方法。   108. The method of claim 107, wherein the electrode ring comprises an anticorrosive metal or alloy. 複数のノズル穴を含むノズルヘッドの中を前記プロセス流体を通過させることをさらに含み、および、前記ノズルヘッドは前記電極リングの上方に位置している請求項107に記載の方法。   108. The method of claim 107, further comprising passing the process fluid through a nozzle head that includes a plurality of nozzle holes, and wherein the nozzle head is located above the electrode ring. 前記複数のオリフィスに対して前記複数のノズル穴を片寄らせることをさらに含む請求項109に記載の方法。   110. The method of claim 109, further comprising biasing the plurality of nozzle holes relative to the plurality of orifices. 前記プロセス流体の流れは前記フィルタ要素によって流路内で分散させられ、前記複数のオリフィスから前記電極リングを通って均一に流れ、および、前記ノズル穴を通ってウェーハの前記表面に流れる請求項109に記載の方法。   110. The process fluid flow is dispersed within the flow path by the filter element, flows uniformly from the plurality of orifices through the electrode ring, and flows through the nozzle holes to the surface of the wafer. The method described in 1. 加工処理装置内において半導体ウェーハをレベリングする装置であって、
実質的に平面内に配置されている3つのセンサと、
前記3つのセンサの反対側の、ウェーハを保持するように構成されているチャック
とを備え、
前記3つのセンサは、前記センサに対する前記ウェーハ表面の距離を測定するように構成されている
装置。
An apparatus for leveling a semiconductor wafer in a processing apparatus,
Three sensors arranged substantially in a plane;
A chuck configured to hold a wafer opposite the three sensors;
The three sensors are configured to measure a distance of the wafer surface relative to the sensors.
前記平面は前記加工処理装置の一部分と平行である請求項112に記載の装置。   113. The apparatus of claim 112, wherein the plane is parallel to a portion of the processing apparatus. 前記平面は加工処理ノズルに関連付けられている請求項112に記載の装置。   113. The apparatus according to claim 112, wherein the plane is associated with a processing nozzle. 前記センサは、前記センサに接続されている信号線と、前記ウェーハの表面上の金属層と、前記ウェーハに接続されている接地線と共に回路を完成する導電ピンを含む請求項112に記載の装置。   113. The apparatus of claim 112, wherein the sensor includes a conductive line that completes a circuit with a signal line connected to the sensor, a metal layer on a surface of the wafer, and a ground line connected to the wafer. . 前記回路が完成される時に生じさせられる信号に基づいて前記ウェーハの距離オフセットを測定する制御システムをさらに含む請求項115に記載の装置。   116. The apparatus of claim 115, further comprising a control system that measures a distance offset of the wafer based on a signal generated when the circuit is completed. 前記制御システムは前記距離測定値に基づいて前記チャックを調整する請求項116に記載の装置。   117. The apparatus of claim 116, wherein the control system adjusts the chuck based on the distance measurement. 加工処理装置内においてウェーハをレベリングする方法であって、
ウェーハの所望の整合平面を決定することと、
前記ウェーハの前記所望の整合平面に関して3つの場所でウェーハの位置を測定することと、
前記ウェーハの前記決定された位置と所望の整合平面とに基づいて前記ウェーハを調整すること
とを含む方法。
A method for leveling a wafer in a processing apparatus,
Determining a desired alignment plane of the wafer;
Measuring the position of the wafer at three locations with respect to the desired alignment plane of the wafer;
Adjusting the wafer based on the determined position of the wafer and a desired alignment plane.
前記平面は前記加工処理装置の一部分と平行である請求項118に記載の方法。   119. The method of claim 118, wherein the plane is parallel to a portion of the processing apparatus. 前記平面は加工処理ノズルに関連付けられている請求項118に記載の方法。   119. The method of claim 118, wherein the plane is associated with a processing nozzle. 前記ウェーハの位置を決定することは3つのセンサによって距離を測定することを含み、前記センサに接続されている信号線と、前記ウェーハの表面上の金属層と、前記ウェーハ金属層に接続されている接地線と共に回路を完成させる導電ピンを各々が有する請求項118に記載の方法。   Determining the position of the wafer includes measuring distance by three sensors, a signal line connected to the sensor, a metal layer on the surface of the wafer, and connected to the wafer metal layer. 119. The method of claim 118, wherein each has a conductive pin that completes the circuit with a ground wire present. 制御システムが、前記回路が完成される時に生じさせられる信号に基づいて前記平面からの距離オフセットを測定する請求項121に記載の方法。   122. The method of claim 121, wherein the control system measures a distance offset from the plane based on a signal generated when the circuit is completed. 前記ウェーハを調整することは、前記ウェーハを保持するチャックを前記距離測定値に基づいて移動させることを含む請求項122に記載の方法。   123. The method of claim 122, wherein adjusting the wafer includes moving a chuck that holds the wafer based on the distance measurement.
JP2006159680A 2002-04-08 2006-06-08 Apparatus and method for electropolishing and/or electroplating Pending JP2006319348A (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US37095502P 2002-04-08 2002-04-08
US37091902P 2002-04-08 2002-04-08
US37092902P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37254202P 2002-04-14 2002-04-14
US37256602P 2002-04-14 2002-04-14
US37256702P 2002-04-14 2002-04-14
US39046002P 2002-06-21 2002-06-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003584368A Division JP2005522585A (en) 2002-04-08 2003-04-08 Electropolishing and / or electroplating apparatus and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006189857A Division JP2006328543A (en) 2002-04-08 2006-07-10 Electropolishing and/or electroplating apparatus and method

Publications (1)

Publication Number Publication Date
JP2006319348A true JP2006319348A (en) 2006-11-24

Family

ID=29255769

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2003584368A Pending JP2005522585A (en) 2002-04-08 2003-04-08 Electropolishing and / or electroplating apparatus and method
JP2006159680A Pending JP2006319348A (en) 2002-04-08 2006-06-08 Apparatus and method for electropolishing and/or electroplating
JP2006189857A Pending JP2006328543A (en) 2002-04-08 2006-07-10 Electropolishing and/or electroplating apparatus and method
JP2006227700A Pending JP2007077501A (en) 2002-04-08 2006-08-24 Electropolishing and/or electroplating apparatus and methods
JP2006282453A Pending JP2007051377A (en) 2002-04-08 2006-10-17 Electrolytical-polishing and/or electroplating apparatus and methods

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003584368A Pending JP2005522585A (en) 2002-04-08 2003-04-08 Electropolishing and / or electroplating apparatus and method

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2006189857A Pending JP2006328543A (en) 2002-04-08 2006-07-10 Electropolishing and/or electroplating apparatus and method
JP2006227700A Pending JP2007077501A (en) 2002-04-08 2006-08-24 Electropolishing and/or electroplating apparatus and methods
JP2006282453A Pending JP2007051377A (en) 2002-04-08 2006-10-17 Electrolytical-polishing and/or electroplating apparatus and methods

Country Status (10)

Country Link
US (1) US20050218003A1 (en)
EP (1) EP1492907A4 (en)
JP (5) JP2005522585A (en)
KR (1) KR20040099407A (en)
CN (2) CN100430526C (en)
AU (1) AU2003226319A1 (en)
CA (1) CA2479794A1 (en)
SG (1) SG159384A1 (en)
TW (1) TWI274393B (en)
WO (1) WO2003087436A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014165439A (en) * 2013-02-27 2014-09-08 Tokyo Electron Ltd Substrate conveyance device, substrate delivery position confirmation method and substrate processing system
US10501862B2 (en) 2016-08-08 2019-12-10 Ebara Corporation Plating system, a plating system control method, and a storage medium containing a program for causing a computer to execute the plating system control method

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (en) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 Wet etching equipment
JP5155517B2 (en) * 2005-04-21 2013-03-06 株式会社荏原製作所 Wafer delivery apparatus and polishing apparatus
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
WO2008019076A2 (en) * 2006-08-04 2008-02-14 E. I. Du Pont De Nemours And Company Substrate carrier enclosure
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US20080107509A1 (en) * 2006-11-07 2008-05-08 Whitcomb Preston X Vacuum end effector for handling highly shaped substrates
JP5537947B2 (en) * 2006-11-27 2014-07-02 テック・セム アーゲー Conveyor for overhead transport system
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8172989B2 (en) * 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
US8751047B2 (en) 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
US9269529B2 (en) 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
JP5454145B2 (en) * 2007-12-27 2014-03-26 日本電気株式会社 Mobile phone terminal
JP5417343B2 (en) 2007-12-27 2014-02-12 ラム リサーチ コーポレーション System and method for calibrating an end effector alignment using at least one light source
US8860955B2 (en) 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (en) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 Electrodeposition system
WO2010028180A2 (en) * 2008-09-04 2010-03-11 Applied Materials, Inc. Adjusting polishing rates by using spectrographic monitoring of a substrate during processing
JP5647148B2 (en) 2009-01-11 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electrostatic end effector apparatus, system, and method for transporting a substrate
CN101851776B (en) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 Treatment method of silicon chip edge
CN103352246B (en) * 2010-05-19 2015-08-19 易生科技(北京)有限公司 Support burnishing device and method
TWI410532B (en) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd Vertical wafer hole filling electrode plating apparatus
CN102140669B (en) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 Cleaning method after silicon chip electroplating copper
CN102427047B (en) * 2011-09-28 2014-03-12 上海华力微电子有限公司 Wafer back cleaning device and wafer back cleaning method
SG194239A1 (en) * 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
CN102864486A (en) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 Electrolytic polishing device
CN104838480B (en) * 2012-12-10 2018-03-02 盛美半导体设备(上海)有限公司 Polishing wafer method
CN103510149B (en) * 2013-10-14 2015-11-18 陈功 A kind of wet type automatic polishing method with electrolytic polishing liquid and equipment thereof
CN105316754B (en) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 Electrochemical machining process and electrochemical machining apparatus
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
WO2017092029A1 (en) * 2015-12-04 2017-06-08 Acm Research (Shanghai) Inc. Apparatus for holding substrate
CN105780101B (en) * 2016-01-27 2018-06-26 杨继芳 A kind of Novel electrolytic polissoir
FR3049940B1 (en) * 2016-04-06 2018-04-13 Saint- Gobain Glass France SUPPORT DEVICE FOR GLASS SHEET IN PARTICULAR IN A WASHING PLANT
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
CN106191983B (en) * 2016-08-12 2018-06-29 厦门大学 A kind of micro fluidic device and its application process for electrochemical etching processing
CN106737805A (en) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 A kind of multi-function robot clamping jaw
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
WO2019041154A1 (en) * 2017-08-30 2019-03-07 Acm Research (Shanghai) Inc. Plating apparatus
SG11202001662SA (en) * 2017-09-07 2020-03-30 Acm Res Shanghai Inc Plating chuck
CN109560029B (en) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 Automatic round silicon wafer rewinding mechanism
CN108406568B (en) * 2018-05-21 2023-08-22 浙江工业大学 Device and method for polishing blade edge by using liquid metal polishing solution
CN108453651B (en) * 2018-05-24 2024-05-07 明峰医疗系统股份有限公司 PET detector shaft positioning tool
CN109652850B (en) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 Multi-channel sheet type electroplating device
CN109609996B (en) * 2018-12-12 2020-12-18 东华大学 Combined hanger for batch hard chromium plating of groove needles of warp knitting machine
CN109712923B (en) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 Wafer circulating device and wafer circulating method
CN109676274B (en) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 Pressure release mechanism and vacuum adsorption and dust collection device with same
CN109759957A (en) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 The circulating feeding liquid device and feed liquid method of polishing fluid in ring throwing
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
EP3851916A1 (en) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
JP7422586B2 (en) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
CN111693852B (en) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 Plastic packaging component unsealing method and unsealing device
CN114473818B (en) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 Combined adjusting polishing clamp for false tooth
CN114990549B (en) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 Surface roughening treatment device and method for automobile stamping die casting

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
EP0456426B1 (en) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
JPH07136885A (en) * 1993-06-30 1995-05-30 Toshiba Corp Vacuum chuck
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
AU3105400A (en) * 1998-11-28 2000-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal
CN1319130C (en) * 1999-12-24 2007-05-30 株式会社荏原制作所 Apparatus for plating semiconductor substrate, method for plating semiconductor substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014165439A (en) * 2013-02-27 2014-09-08 Tokyo Electron Ltd Substrate conveyance device, substrate delivery position confirmation method and substrate processing system
US10501862B2 (en) 2016-08-08 2019-12-10 Ebara Corporation Plating system, a plating system control method, and a storage medium containing a program for causing a computer to execute the plating system control method
US11098414B2 (en) 2016-08-08 2021-08-24 Ebara Corporation Plating system, a plating system control method, and a storage medium containing a program for causing a computer to execute the plating system control method

Also Published As

Publication number Publication date
EP1492907A4 (en) 2008-01-09
JP2007051377A (en) 2007-03-01
TWI274393B (en) 2007-02-21
SG159384A1 (en) 2010-03-30
CN100430526C (en) 2008-11-05
JP2006328543A (en) 2006-12-07
TW200402821A (en) 2004-02-16
AU2003226319A1 (en) 2003-10-27
CN1653211A (en) 2005-08-10
EP1492907A1 (en) 2005-01-05
JP2005522585A (en) 2005-07-28
CN101353810B (en) 2012-02-15
KR20040099407A (en) 2004-11-26
WO2003087436A1 (en) 2003-10-23
CA2479794A1 (en) 2003-10-23
CN101353810A (en) 2009-01-28
US20050218003A1 (en) 2005-10-06
JP2007077501A (en) 2007-03-29

Similar Documents

Publication Publication Date Title
JP2006319348A (en) Apparatus and method for electropolishing and/or electroplating
US7389783B2 (en) Proximity meniscus manifold
US6539952B2 (en) Megasonic treatment apparatus
US9305768B2 (en) Method for processing flat articles
US20040238481A1 (en) Electropolishing assembly and methods for electropolishing conductive layers
US6684523B2 (en) Particle removal apparatus
US6805137B2 (en) Method for removing contamination particles from substrates
US6779226B2 (en) Factory interface particle removal platform
US20050092351A1 (en) Substrate processing apparatus and substrate processing method
US6840250B2 (en) Nextgen wet process tank
US6725564B2 (en) Processing platform with integrated particle removal system
US9640384B2 (en) Substrate cleaning apparatus and substrate cleaning method
US7811424B1 (en) Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US20030039087A1 (en) Substrate support apparatus to facilitate particle removal
US20220165562A1 (en) Cleaning liquid nozzle, cleaning apparatus, and method of manufacturing semiconductor device using the same

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090526

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091208