KR20040099407A - Electropolishing and/or electroplating apparatus and methods - Google Patents

Electropolishing and/or electroplating apparatus and methods Download PDF

Info

Publication number
KR20040099407A
KR20040099407A KR10-2004-7015977A KR20047015977A KR20040099407A KR 20040099407 A KR20040099407 A KR 20040099407A KR 20047015977 A KR20047015977 A KR 20047015977A KR 20040099407 A KR20040099407 A KR 20040099407A
Authority
KR
South Korea
Prior art keywords
wafer
electroplating
electropolishing
semiconductor
chuck
Prior art date
Application number
KR10-2004-7015977A
Other languages
Korean (ko)
Inventor
후이 왕
보하 누치
팰릭스 구트만
무하메드 아프난
히만슈 제이. 쵸크시
마크 자코브스 반커크윅
다몬 엘. 코엘러
페이하우어 이흐
마이 호앙 뉴기옌
루 카오 창
프레더릭 호
Original Assignee
에이씨엠 리서치, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이씨엠 리서치, 인코포레이티드 filed Critical 에이씨엠 리서치, 인코포레이티드
Publication of KR20040099407A publication Critical patent/KR20040099407A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Robotics (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명의 일 양상에서, 반도체 웨이퍼를 위한 전해연마 및/또는 전기도금 프로세스에 대한 예시적인 장치 및 방법이 제공된다. 하나의 예시적인 장치는 웨이퍼(901)의 경사면 또는 엣지 부분 상에 잔류하는 금속을 제거하도록 엣지 클린 조립체(930)를 갖춘 클리닝 모듈을 포함한다. 이러한 엣지 클리닝 장치는 웨이퍼의 주요 표면에 액체 및 가스를 공급하도록 구성된 노즐 헤드(1030)를 포함하며, 위치의 내측 반경방향으로 가스를 공급하고 액체가 공급되어, 웨이퍼 상에 형성된 금속막으로 내측 반경방향으로 유동하는 액체의 포텐셜을 감소시킨다.In one aspect of the invention, an exemplary apparatus and method for an electropolishing and / or electroplating process for a semiconductor wafer are provided. One example apparatus includes a cleaning module with an edge clean assembly 930 to remove metal remaining on the inclined surface or edge portion of the wafer 901. This edge cleaning device includes a nozzle head 1030 configured to supply liquid and gas to a major surface of the wafer, and supplies gas in the inner radial direction of the position and the liquid is supplied to the inner film with a metal film formed on the wafer. To reduce the potential of the liquid to flow in the direction.

Description

전해연마 및/또는 전기도금 장치 및 방법 {ELECTROPOLISHING AND/OR ELECTROPLATING APPARATUS AND METHODS}Electropolishing and / or Electroplating Apparatus and Method {ELECTROPOLISHING AND / OR ELECTROPLATING APPARATUS AND METHODS}

반도체 장치는 다수의 상이한 프로세싱 단계를 사용하여 반도체 웨이퍼 상에서 제조되거나 또는 조립되어, 트랜지스터 또는 상호접속 소자를 만들어 낸다. 반도체 웨이퍼와 연관된 트랜지스터 터미널을 전기적으로 접속시키기 위해, 전도성(예컨대, 금속) 트렌치(trench), 바이어스(vias) 등이 반도체 장치의 일부분으로서 유전체 물질 내에 형성되어 있다. 트렌치 및 바이어스는 트랜지스터와, 반도체 장치의 내부 회로와, 그리고 반도체 장치의 외부로의 회로 사이에 전기 신호 및 동력을 연결시킨다.Semiconductor devices are fabricated or assembled on semiconductor wafers using a number of different processing steps to produce transistors or interconnect devices. In order to electrically connect transistor terminals associated with the semiconductor wafer, conductive (eg, metal) trenches, vias, and the like are formed in the dielectric material as part of the semiconductor device. Trench and bias connect electrical signals and power between transistors, internal circuitry of the semiconductor device, and circuitry to the exterior of the semiconductor device.

상호접속 소자를 형성할 때, 반도체 장치의 원하는 전자 회로를 형성하기 위해 반도체 웨이퍼에 예컨대 마스킹(masking), 에칭(etching) 및 증착(deposition) 프로세스를 실행할 수 있다. 특히, 복수의 마스킹 및 에칭 단계들이 실행되어, 상호접속을 위해 트렌치 및 바이어스로서 작용하는 오목한 영역의 패턴을 반도체 웨이퍼 상의 유전체 층 내에 형성시킬 수 있다. 이후, 증착 프로세스가 실행될 수 있어서, 반도체 웨이퍼 위로 금속층을 증착시켜, 반도체 웨이퍼의 오목하지 않은 영역 상에 그리고 트렌치 및 바이어스 모두에 금속을 증착시킨다. 패턴화된 트렌치 및 바이어스와 같은 상호접속부를 고립시키기 위해, 반도체 웨이퍼의 오목하지 않은 영역 상에 증착된 금속이 제거된다.When forming the interconnect elements, masking, etching and deposition processes, such as masking, may be performed on the semiconductor wafer to form the desired electronic circuits of the semiconductor device. In particular, a plurality of masking and etching steps may be performed to form a pattern of concave regions in the dielectric layer on the semiconductor wafer that acts as a trench and a bias for the interconnect. Subsequently, a deposition process may be performed to deposit a metal layer over the semiconductor wafer, depositing the metal on the non-concave region of the semiconductor wafer and in both the trench and the bias. In order to isolate interconnects such as patterned trenches and vias, metal deposited on non-concave regions of the semiconductor wafer is removed.

반도체 웨이퍼 상의 유전체 층의 오목하지 않은 영역 상에 증착된 금속막을 제겅하는 종래의 방법은 예컨대 화학 기계적 연마(CMP)를 포함한다. CMP 방법은상호접속 라인을 형성하도록 유전체 층의 오목하지 않은 영역과 함께 트렌치 및 바이어스 내부의 금속층을 연마하고 평탄화하기 위해 반도체 산업에서 널리 알려져 사용된다.Conventional methods for producing metal films deposited on non-concave regions of dielectric layers on semiconductor wafers include, for example, chemical mechanical polishing (CMP). CMP methods are widely known and used in the semiconductor industry to polish and planarize metal layers inside trenches and vias with non-concave regions of dielectric layers to form interconnect lines.

그러나, CMP 방법은 수반되는 비교적 강한 기계적 힘 때문에 밑에 놓인 반도체 구조에 해로운 영향을 준다. 예컨대, 상호접속부 형상이 0.13 미크론(microns) 아래로 이동하면, 통상의 다마스크(damascene) 프로세스에서 사용되는 낮은 k의 박막과 구리와 같은 전도성 물질의 기계적 물성들 사이에 커다란 차이가 존재할 수 있다. 예컨대, 낮은 k 유전체 박막의 영률(Young Modulus)은 구리의 크기의 1/10 미만일 수 있다. 결국, CMP 프로세스에서 유전체 박막과 구리에 대해, 또는 다른 요소들 사이에서 가해지는 비교적 강한 기계적 힘은 얇은 층으로 갈라짐(delamination), 사발형으로 움푹 패임(dishing), 부식(erosion), 박막 리프팅(film lifting), 스크래칭(scratching) 등을 포함하는 반도체 구조 상의 결점과 관련된 응력을 야기시킬 수 있다.However, the CMP method has a detrimental effect on the underlying semiconductor structure due to the relatively strong mechanical forces involved. For example, if the interconnect geometry moves below 0.13 microns, there may be a large difference between the mechanical properties of the low k thin film and conductive material such as copper used in conventional damascene processes. For example, the Young Modulus of a low k dielectric thin film may be less than 1/10 of the size of copper. As a result, the relatively strong mechanical forces exerted on the dielectric thin film and copper, or between other elements, in the CMP process may cause thin layer delamination, bowling, erosion, thin film lifting ( stresses associated with defects in the semiconductor structure, including film lifting, scratching, and the like.

따라서, 금속층을 증착시키고 연마하기 위한 새로운 프로세싱 장치 및 기술이 요구된다. 예컨대, 금속층은 전해연마 또는 전기도금 프로세스를 사용하여 웨이퍼로부터 제거되거나 또는 증착될 수도 있다. 대개, 전해연마 또는 전기도금 프로세스에서, 연마되거나 또는 도금되는 웨이퍼의 일부분은 전해액 내에 침지되며 웨이퍼에 전하가 인가된다. 이들 조건은 웨이퍼에 인가되는 상대적인 전하에 따라 구리가 증착되거나 웨이퍼로부터 구리가 제거된다.Accordingly, new processing apparatus and techniques for depositing and polishing metal layers are needed. For example, the metal layer may be removed or deposited from the wafer using an electropolishing or electroplating process. Usually, in an electropolishing or electroplating process, the portion of the wafer being polished or plated is immersed in the electrolyte and charge is applied to the wafer. These conditions allow copper to be deposited or copper removed from the wafer depending on the relative charge applied to the wafer.

본 발명은 미국특허 가출원 제 60/372,542호(발명의 명칭:"전해연마 및/또는 전기도금을 위한 메인프레임 및/또는 전기도금 조립체", 2002년 4월 14일자로 출원됨), 미국특허 가출원 제 60/379,919호(발명의 명칭:"엔드 이펙터 시일", 2002년 4월 8일자로 출원됨), 미국특허 가출원 제 60/370,955호(발명의 명칭:"웨이퍼 클리닝 방법 및 장치", 2002년 4월 8일자로 출원됨), 미국특허 가출원 제 60/372,566호(발명의 명칭:"전해연마 및/또는 전기도금 방법 및 장치", 2002년 4월 14일자로 출원됨), 미국특허 가출원 제 60/370,956호(발명의 명칭:"액체를 전달하는 방법 및 장치", 2002년 4월 8일자로 출원됨), 미국특허 가출원 제 60/370,929호(발명의 명칭:"웨이퍼를 레벨링하는 방법 및 장치", 2002년 4월 14일자로 출원됨), 미국특허 가출원 제 60/372,567호(발명의 명칭:"기판 상의 금속막을 전해연마하는 방법 및 장치", 2002년 4월 14일자로 출원됨), 및 미국특허 가출원 제 60/390,460호(발명의 명칭:"전기도금 장치", 2002년 6월 21일자로 출원됨)을 우선권 주장하며, 이들 모두는 그 전체가 여기에 참조되었다.The present invention discloses U.S. Provisional Application No. 60 / 372,542, entitled "Mainframe and / or Electroplating Assembly for Electropolishing and / or Electroplating", filed Apr. 14, 2002, U.S. Provisional Application 60 / 379,919 (name of the invention: “End Effector Seal”, filed April 8, 2002), US Provisional Application No. 60 / 370,955 (name of the invention: “Wafer Cleaning Method and Device”, 2002 US Patent Provisional Application No. 60 / 372,566, filed Apr. 8, entitled "Electropolishing and / or Electroplating Methods and Apparatus", filed April 14, 2002, US Patent Provisional Application 60 / 370,956 (name of the invention: “Method and Apparatus for Delivering Liquid”, filed April 8, 2002), US Provisional Application No. 60 / 370,929 (name of the invention: “Method of Leveling Wafer; and Apparatus ", filed April 14, 2002, US Provisional Application No. 60 / 372,567 (name of the invention:" Electropolishing a metal film on a substrate " Claim method and device, "filed April 14, 2002), and US Provisional Application No. 60 / 390,460 (named" Electroplating Device ", filed June 21, 2002). All of which are hereby incorporated by reference in their entirety.

본 발명은 대체로 반도체 프로세싱 장치 및 방법에 관한 것이며, 보다 상세하게는, 반도체 장치 상의 전도성 층들을 전해연마 및/또는 전기도금하기 위한 전해연마 및/또는 전기도금 장치 및 방법에 관한 것이다.The present invention relates generally to semiconductor processing apparatus and methods, and more particularly, to electropolishing and / or electroplating apparatus and methods for electropolishing and / or electroplating conductive layers on semiconductor devices.

도 1은 전해연마 및/또는 전기도금 반도체 웨이퍼에 사용될 수 있는 예시적인 반도체 프로세싱 조립체를 도시하고,1 illustrates an example semiconductor processing assembly that may be used in electropolishing and / or electroplating semiconductor wafers,

도 2는 반도체 웨이퍼를 이송하기 위한 예시적인 엔드 이펙터를 포함하는 로봇을 도시하며,2 shows a robot including an exemplary end effector for transferring a semiconductor wafer,

도 3은 예시적인 엔드 이펙터의 평면도이고,3 is a top view of an exemplary end effector,

도 4a 및 도 4b는 예시적인 엔드 이펙터의 평면도 및 횡단면도를 도시하며,4A and 4B show top and cross sectional views of an exemplary end effector,

도 5는 예시적인 엔드 이펙터의 평면도이고,5 is a top view of an exemplary end effector,

도 6은 예시적인 엔드 이펙터의 평면도이며,6 is a top view of an exemplary end effector,

도 7은 예시적인 엔드 이펙터의 평면도이고,7 is a top view of an example end effector,

도 8은 예시적인 진공 컵의 측면도이며,8 is a side view of an exemplary vacuum cup,

도 9a는 돔 커버를 갖춘 예시적인 클리닝 챔버 모듈을 도시하고,9A shows an exemplary cleaning chamber module with a dome cover,

도 9b는 클리닝 챔버 모듈의 부분적인 내부도이며,9B is a partial internal view of the cleaning chamber module,

도 9c는 클리닝 노즐을 상세하게 도시한 클리닝 챔버 모듈의 분해도이고,9C is an exploded view of the cleaning chamber module showing the cleaning nozzle in detail;

도 10a 및 도 10b는 예시적인 엣지 클린 조립체의 평면도 및 측면도이며,10A and 10B are top and side views of an exemplary edge clean assembly,

도 11a 내지 도 11h는 베벨 클린 조립체의 일부분으로서 포함된 예시적인 노즐 헤드의 여러 도면을 도시하고,11A-11H illustrate various views of an exemplary nozzle head included as part of a bevel clean assembly,

도 12는 클리닝 챔버 모듈의 일부분으로서 포함된 예시적인 척 모터 조립체의 분해도이며,12 is an exploded view of an example chuck motor assembly included as part of a cleaning chamber module,

도 13은 클리닝 챔버 모듈 내에 포함된 클리닝 챔버 윈도우의 분해도이고,13 is an exploded view of a cleaning chamber window included in the cleaning chamber module,

도 14는 클리닝 챔버 모듈 내에 포함된 예시적인 광 센서의 분해도이며,14 is an exploded view of an exemplary optical sensor included in the cleaning chamber module,

도 15는 척 내의 웨이퍼의 적절한 위치를 결정하기 위한 예시적인 방법이고,15 is an example method for determining the proper location of a wafer within a chuck;

도 16a 내지 도 16c 및 도 17a 내지 도 17c는 예시적인 웨이퍼 클리닝 프로세스를 도시하며,16A-16C and 17A-17C illustrate an exemplary wafer cleaning process,

도 18은 예시적인 프로세스 챔버 조립체의 분해도이고,18 is an exploded view of an example process chamber assembly,

도 19는 도 18에서 구현된 프로세스 챔버 조립체 내에 포함될 수 있는 예시적인 프로세스 드라이브 시스템의 분해도이며,FIG. 19 is an exploded view of an example process drive system that may be included within the process chamber assembly implemented in FIG. 18;

도 20은 에너지 강화 요소를 갖춘 예시적인 노즐을 도시하고,20 shows an example nozzle with an energy reinforcing element,

도 21은 예시적인 전기도금 장치의 분해도이며,21 is an exploded view of an exemplary electroplating apparatus,

도 22는 도 21에 도시된 예시적인 도금 샤우어 헤드 조립체의 분해도이고,FIG. 22 is an exploded view of the example plating shower head assembly shown in FIG. 21;

도 23은 300 mm 웨이퍼를 위한 예시적인 도금 샤우어 헤드의 분해도이며,FIG. 23 is an exploded view of an exemplary plating shearer head for a 300 mm wafer;

도 24는 200 mm 웨이퍼를 위한 예시적인 도금 샤우어 헤드의 분해도이고,24 is an exploded view of an exemplary plating shearer head for a 200 mm wafer,

도 25a 내지 도 25e는 도 22 내지 도 24에 도시된 샤우어 헤드의 여러 도면을 도시하며,25A-25E show several views of the shroud head shown in FIGS. 22-24,

도 26a 및 도 26b는 예시적인 레벨링 툴 및 웨이퍼 척의 평면도 및 횡단면도이고,26A and 26B are top and cross sectional views of exemplary leveling tools and wafer chucks,

도 26c는 도 26a 및 도 26b에 도시된 예시적인 센서의 횡단면도이며,FIG. 26C is a cross sectional view of the example sensor shown in FIGS. 26A and 26B;

도 27은 레벨링 툴을 위한 소프트웨어 패널의 예시적인 도면을 도시한다.27 shows an exemplary view of a software panel for the leveling tool.

본 발명의 일 양상은 웨이퍼 상의 전도성 박막을 전해연마 및/또는 전기도금하기 위한 예시적인 장치 및 방법에 관한 것이다. 예시적인 장치는 클리닝 모듈, 프로세싱 모듈, 정렬 모듈과 같은 여러 프로세싱 모듈과, 로봇, 엔드 이펙터, 액체 전달 시스템 등과 같이 상이한 모듈의 프로세스를 실행하기 위한 여러 장치를 포함한다.One aspect of the present invention relates to an exemplary apparatus and method for electropolishing and / or electroplating conductive thin films on a wafer. Exemplary apparatuses include various processing modules, such as cleaning modules, processing modules, alignment modules, and various apparatus for executing processes of different modules, such as robots, end effectors, liquid delivery systems, and the like.

본 발명의 다른 양상은 여러 장치 및 프로세싱 방법을 포함한다. 하나의 예시적인 장치는 웨이퍼의 주요 표면(major surface)의 외부 부분 또는 경사 부분 상의 금속 잔류물을 제거하기 위한 웨이퍼 엣지 클리닝 조립체를 갖춘 클리닝 모듈을 포함한다. 엣지 클리닝 장치는 웨이퍼의 주요 표면에 액체 및 가스를 공급하도록 구성된 노즐 헤드를 포함한다. 노즐은 웨이퍼의 주요 표면의 외부 엣지에 인접한 영역에 액체를 공급하고, 액체가 공급되는 위치에 대해 내측 반경방향으로 가스를 공급한다. 액체가 공급되는 위치의 내측 반경방향의 위치에 웨이퍼 표면으로 가스를 인도하는 것은 위에 형성된 금속층에 대한 웨이퍼 상의 내측 반경방향으로 유동하는 액체의 포텐셜을 감소시킬 수 있다.Other aspects of the present invention include various apparatus and processing methods. One example apparatus includes a cleaning module with a wafer edge cleaning assembly for removing metal residue on the outer or inclined portions of the major surface of the wafer. The edge cleaning device includes a nozzle head configured to supply liquid and gas to the major surface of the wafer. The nozzle supplies liquid to an area adjacent the outer edge of the major surface of the wafer and supplies gas in the inner radial direction to the location where the liquid is supplied. Guiding gas to the wafer surface at an inner radial position of the position at which the liquid is supplied can reduce the potential of the inner radially flowing liquid on the wafer to the metal layer formed thereon.

첨부된 도면 및 청구의 범위와 관련하여 아래의 상세한 설명을 고려하면 본 발명이 보다 잘 이해된다.The present invention is better understood upon consideration of the following detailed description in conjunction with the accompanying drawings and claims.

본 발명의 보다 완전히 이해를 제공하기 위해, 다음의 기술에서 특정한 재료, 매개변수 등과 같은 다수의 구체적인 상세한 설명을 상술한다. 그러나, 이러한 기재는 본 발명의 범위를 한정하기 위한 것이 아니라 보다 양호한 예시적인 실시예를 설명하기 위해 제공된 것임을 이해한다.To provide a more complete understanding of the invention, numerous specific details such as specific materials, parameters, etc. are set forth in the following description. However, it is understood that such description is provided not to limit the scope of the present invention but to explain a better exemplary embodiment.

Ⅰ. 예시적인 전해연마 및/또는 전기도금 조립체:I. Exemplary electropolishing and / or electroplating assemblies:

본 발명의 제 1 양상은 반도체 웨이퍼를 프로세싱하기 위한 예시적인 전해연마 및/또는 전기도금 조립체를 포함한다. 일례에서, 하나 이상의 반도체 웨이퍼를 프로세싱하기 위한 장치는 하나 이상의 웨이퍼를 저장하기 위한 모듈, 웨이퍼를 전해연마하거나 웨이퍼를 전기도금하기 위한 2 개 이상의 수직으로 적층된 프로세싱 모듈, 및 웨이퍼를 이송하기 위한 (엔드 이펙터 등을 갖춘)로봇을 포함할 수 있다. 이러한 웨이퍼를 프로세싱하기 위한 장치는 개별의 프레임을 특징으로 하는 2 개 이상의 섹션으로 분리될 수 있다. 대개, 로봇은 웨이퍼를 저장하기 위한 모듈과, 프로세싱 모듈과, 그리고 웨이퍼 상에 원하는 프로세싱을 실행하기 위한 클리닝 모듈 사이에 웨이퍼를 이송시킨다. 또한, 아래에 기술하는 바와 같은 반도체 웨이퍼의 프로세싱을 위한 여러 다른 모듈 및 피쳐가 포함될 수도 있다.A first aspect of the invention includes an exemplary electropolishing and / or electroplating assembly for processing a semiconductor wafer. In one example, an apparatus for processing one or more semiconductor wafers includes a module for storing one or more wafers, two or more vertically stacked processing modules for electropolishing or electroplating a wafer, and for transferring wafers ( Robots (with end effectors, etc.). The apparatus for processing such wafers may be separated into two or more sections featuring separate frames. Usually, a robot transfers a wafer between a module for storing a wafer, a processing module, and a cleaning module for performing desired processing on the wafer. In addition, various other modules and features for the processing of semiconductor wafers as described below may be included.

도 1은 예시적인 전해연마 및/또는 전기도금 조립체(100)의 분해도를 도시한다. 본 실례에서, 전해연마 및/또는 전기도금 조립체(100)는 메인프레임(후미, "BE")(108) 및 전방프레임(팩토리 인터페이스(factory interface), "FI")(132)를 포함한다. 그러나, 조립체(100)는 보다 적거나 또는 보다 많은 섹션으로 분할될 수도 있다.1 shows an exploded view of an exemplary electropolishing and / or electroplating assembly 100. In this example, electropolishing and / or electroplating assembly 100 includes a mainframe (rear, "BE") 108 and a frontframe (factory interface, "FI") 132. However, assembly 100 may be divided into fewer or more sections.

BE(108)는 전기 섀시 조립체(102), 클리닝 드레인/프로세스 배출구(104), 클리닝 모듈 조립체(106), AC 제어 조립체(110), 액체 전달 시스템(LDS)(112), 가스 제어 시스템(GCS)(114), 프로세스 드레인(116), 펌프 및 서지 억제기(118), 캐비넷 배출구(120), 프로세스 탱크(122), 액체 필터(124), 액체 봉쇄 트레이(liquid containment tray; 126), 및 이중 봉쇄 영역(128), 프로세스 모듈 조립체(130)를포함할 수 있다.BE 108 includes electrical chassis assembly 102, cleaning drain / process outlet 104, cleaning module assembly 106, AC control assembly 110, liquid delivery system (LDS) 112, gas control system (GCS). 114, process drain 116, pump and surge suppressor 118, cabinet outlet 120, process tank 122, liquid filter 124, liquid containment tray 126, and Dual containment region 128, process module assembly 130, may be included.

FI(132)는 웨이퍼 예비-정렬기(134), 전방 패널(136), 광 타워(138), 로봇 프레임 조립체(140), 로봇 제어기(142), 비상 기기 정지(emergency machine off; EMO) 버튼(144), 전방 개구 통합 포드(front opening unified pod; FOUP)(146), 및 팬 필터 유닛(152)을 포함할 수 있다.FI 132 includes wafer pre-aligner 134, front panel 136, light tower 138, robot frame assembly 140, robot controller 142, emergency machine off (EMO) button 144, front opening unified pod (FOUP) 146, and fan filter unit 152.

이러한 전해연마 및/또는 전기도금 조립체(100)는 2 개의 섹션으로 분리될 수 있는데, 즉 FI(132) 및 BE(108)로 분리될 수 있어서, 2 개의 섹션이 개별적으로 이송될 수 있고 사이트에서 하나의 유닛으로 재조립될 수 있다. 또한, 로봇 프레임 조립체(140)는 로봇 조립체(147), 건조 엔드 이펙터(148), 습윤 엔드 이펙터(149) 및 로봇 제어기(142)를 포함할 수 있으며, 예컨대 유지보수를 위해 또는 통과하는 동안 FI(132)로부터 분리될 수 있거나 또는 FI(132)로부터 굴러나올 수 있다. 따라서, 전해연마 및/또는 전기도금 조립체(100)는 여러 섹션으로 분리되거나 또는 모듈화될 수 있어서, 이송, 클리닝, 유지보수 등에 유리하다.This electropolishing and / or electroplating assembly 100 can be separated into two sections, ie FI 132 and BE 108, so that the two sections can be transported separately and at the site. Can be reassembled into one unit. In addition, the robot frame assembly 140 may include a robot assembly 147, a dry end effector 148, a wet end effector 149, and a robot controller 142, for example for FI or for maintenance. May be separated from 132 or rolled out of FI 132. Thus, the electropolishing and / or electroplating assembly 100 can be separated or modularized into several sections, which is advantageous for transportation, cleaning, maintenance and the like.

도 1에 도시된 바와 같이, FOUP(146)는 웨이퍼를 저장하기 위한 하나 이상의 포드를 포함할 수 있다. 건조 엔드 이펙터(148)는 포드 가운데 임의의 하나로부터 웨이퍼 예비-정렬기(134)로 웨이퍼(150)를 이송한다. 웨이퍼 예비-정렬기(134)는 습윤 엔드 이펙터(149)가 웨이퍼(150)를 회수하고 이 웨이퍼(150)를 프로세스 모듈 조립체(130)로 이송하기 전에 웨이퍼(150)를 정렬시킨다. 웨이퍼(150)는 다른 방법 및 장치에 의해 모듈 사이에 이송될 수도 있다.As shown in FIG. 1, the FOUP 146 may include one or more pods for storing wafers. Dry end effector 148 transfers wafer 150 from any one of the pods to wafer pre-aligner 134. The wafer pre-aligner 134 aligns the wafer 150 before the wet end effector 149 recovers the wafer 150 and transfers the wafer 150 to the process module assembly 130. Wafer 150 may be transferred between modules by other methods and apparatus.

프로세스 모듈 조립체(130)는 하나 이상의 랙의 웨이퍼 도금용 전기도금 조립체 또는 웨이퍼 폴리싱용 전해연마 조립체(131)를 포함할 수 있다. 전해연마 조립체 또는 전기도금 조립체(131)는 프로세스 모듈 조립체(130)의 접지면(footprint)을 감소시키도록 수직으로 적층될 수 있다. 클리닝 모듈 조립체(106)는 웨이퍼를 클리닝하기 위한 하나 이상의 랙의 클리닝 챔버 모듈(107)을 포함할 수 있다. 유사하게, 클리닝 챔버 모듈(107)은 수직으로 적층될 수 있다. 웨이퍼(150)가 전해연마 또는 전기도금을 위해 프로세싱된 후, 습윤 엔드 이펙터(149)는 클리닝 챔버 모듈(107)로 웨이퍼(150)를 이송시킨다. 건조 엔드 이펙터(148)는 클리닝 챔버 모듈(107)로부터 웨이퍼(150)를 회수하고 FOUP(146) 내의 포드에 웨이퍼(150)를 복귀시킨다. 대개, "건조" 엔드 이펙터(148)는 FOUP(146) 내의 포드로부터 웨이퍼(150)를 회수하고 그리고 이러한 포드에 웨이퍼를 복귀시키거나, 또는 클리닝 챔버 모듈(107)로부터 웨이퍼(150)를 회수하는 경우 사용된다. 웨이퍼(150)가 프로세싱으로 인한 잔류물을 가질 수 있기 때문에, "습윤" 엔드 이펙터(149)는 대개 프로세싱 후에 웨이퍼(150)를 회수하는데 사용된다. 습윤 엔드 이펙터(149)에 의한 프로세싱된 웨이퍼의 회수를 제한하는 것은 건조 엔드 이펙터(148)와 습윤 엔드 이펙터(149) 사이의 교차 봉쇄를 위한 포텐셜을 감소시킬 것이며, 웨이퍼들은 전해연마 및/또는 전기도금 조립체(100) 내부에서 처리되고 이송된다.The process module assembly 130 may include an electroplating assembly for wafer plating or an electropolishing assembly 131 for wafer polishing of one or more racks. The electropolishing assembly or electroplating assembly 131 may be stacked vertically to reduce the footprint of the process module assembly 130. The cleaning module assembly 106 can include one or more rack's cleaning chamber modules 107 for cleaning the wafers. Similarly, the cleaning chamber modules 107 may be stacked vertically. After the wafer 150 has been processed for electropolishing or electroplating, the wet end effector 149 transfers the wafer 150 to the cleaning chamber module 107. The dry end effector 148 recovers the wafer 150 from the cleaning chamber module 107 and returns the wafer 150 to the pod in the FOUP 146. Usually, the “dry” end effector 148 recovers the wafer 150 from the pod in the FOUP 146 and returns the wafer to this pod, or retrieves the wafer 150 from the cleaning chamber module 107. If used. Since the wafer 150 may have residue due to processing, the “wet” end effector 149 is usually used to recover the wafer 150 after processing. Limiting the recovery of processed wafers by the wet end effector 149 will reduce the potential for cross-blocking between the dry end effector 148 and the wet end effector 149, and the wafers may be electropolished and / or electrolyzed. Processed and transferred within the plating assembly 100.

전해연마 및/또는 전기도금 조립체(100)와 연관되어 사용될 수 있는 예시적인 전해연마 조립체는 "전해연마 조립체 및 전도층을 전해연마시키는 방법(ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS)"라는 발명의 명칭을 가지며 2002년 11월 13일자로 출원된 국제특허출원 제PCT/US02/36567호에 개시되어 있으며, 상기 국제특허출원은 본 명세서에 참조하였다.Exemplary electropolishing assemblies that may be used in conjunction with electropolishing and / or electroplating assemblies 100 are entitled “ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS”. And is disclosed in International Patent Application No. PCT / US02 / 36567, filed November 13, 2002, which is incorporated herein by reference.

도 1에 도시된 바와 같이, 대부분의 전기 기기는 BE(108) 내에, 특히 전기 섀시 조립체(102) 및 AC 제어 조립체(110) 내에 내장되어 있다. LDS(112) 및 GCS(114)는 또한 BE(108) 내에 위치한다.As shown in FIG. 1, most electrical devices are embedded within the BE 108, in particular within the electrical chassis assembly 102 and the AC control assembly 110. LDS 112 and GCS 114 are also located within BE 108.

LDS(112)는 DI 수(DI water), 및 여러 화학 및/또는 전해 유체를 위한 공급 라인을 포함할 수 있는데. 이러한 공급 라인은 전해연마 및/또는 전기도금 조립체(100) 내에 포함된 프로세싱 모듈와, 특수한 응용분야에 따라 좌우되는 성분에 있어서 변화할 수 있다. GCS(114)는 또한, 여러 화학품 및 유체의 전달을 제어하고 모니터링하도록 여러 제어 밸브, 센서, 및 공급 라인을 포함할 수 있다.LDS 112 may include DI water, and supply lines for various chemical and / or electrolytic fluids. Such supply lines may vary in processing modules included in electropolishing and / or electroplating assembly 100 and in components that depend on the particular application. GCS 114 may also include several control valves, sensors, and supply lines to control and monitor the delivery of various chemicals and fluids.

펌프 및 서지 억제기(118)는 프로세스 탱크(122)로부터 프로세스 모듈 조립체(130)로 프로세스 액체를 펌핑한다. 공급 라인 내에는 액체 필터(124)가 포함될 수 있어서, 프로세스 액체가 프로세스 모듈 조립체(130)로 가기 전에 프로세스 액체를 여과한다. 웨이퍼(150)를 프로세싱한 후에, 프로세스 액체는 프로세스 드레인(116)을 통해 프로세스 탱크(122) 안으로 드레인될 수 있다. 프로세스 모듈 조립체(130) 및 클리닝 모듈 조립체(106)로부터 프로세스 배출구(104)를 통해 임의의 가스, 예컨대 잠재적으로 유해한 가스를 배출시킨다. 클리닝 드레인/프로세스 배출구(104)는 또한 클리닝 모듈 조립체(106)로부터 DI 수 또는 가스를 방출시키는데 사용될 수 있다. 캐비넷 배출구(120)는 BE(108)의 대개 내부에 존재하는 가스를 방출시키는데 사용될 수 있다. FI(132)는 FI(132) 내에 여과된 클리닝 공기를 제공하도록 팬 필터 유닛(152)을 포함할 수 있다.The pump and surge suppressor 118 pumps process liquid from the process tank 122 to the process module assembly 130. A liquid filter 124 can be included in the supply line to filter the process liquid before the process liquid goes to the process module assembly 130. After processing the wafer 150, the process liquid may be drained into the process tank 122 through the process drain 116. Drain any gas, such as potentially harmful gas, from the process module assembly 130 and the cleaning module assembly 106 through the process outlet 104. The cleaning drain / process outlet 104 may also be used to discharge DI water or gas from the cleaning module assembly 106. Cabinet outlet 120 may be used to release a gas that is generally present inside BE 108. FI 132 may include fan filter unit 152 to provide filtered cleaning air within FI 132.

BE(108)는 또한 액체 봉쇄 트레이(126) 및 이중 봉쇄 영역(128)을 포함할 수 있다. 액체 봉쇄 트레이(126)는 프로세스 탱크(122)가 범람하는 경우에 또는 공급 라인 내에 누수가 있는 경우에 유용할 수 있다. 액체 봉쇄 트레이(126)는 누수를 검출하기 위한 복수의 누수 센서를 더 포함할 수 있다. 이중 봉쇄 영역(128)은 대개 산화 및 부식 방지 물질을 포함할 수 있다.BE 108 may also include a liquid containment tray 126 and a double containment region 128. The liquid containment tray 126 may be useful if the process tank 122 overflows or if there is a leak in the supply line. The liquid containment tray 126 may further include a plurality of leak sensors for detecting leaks. Double containment region 128 may typically include oxidation and corrosion protection materials.

공급 라인, 펌프 및 서지 억제기(118), 액체 필터(124), 액체 봉쇄 트레이(126), 및 이중 봉쇄 영역(128)은 대개 산화 및 부식 방지 물질을 포함한다.Supply lines, pumps and surge suppressors 118, liquid filters 124, liquid containment trays 126, and double containment regions 128 usually include oxidation and corrosion protection materials.

BE(108), FI(132) 및 로봇 프레임 조립체(140)는 스테인레스 강, 바람직하게는 등급 316 스테인레스 강으로 제조될 수 있다. 로봇 조립체(147)는 알루미늄, 스테인레스 강 등으로 제조될 수 있다. 로봇 조립체(147)가 알루미늄 또는 부식되기 쉬운 물질을 포함한다면, 이들 알루미늄 부분의 표면을 부식으로부터 보호하기 위해 이들 알루미늄 부분의 표면을 양극산화시키고 테프론(Teflon) 등으로 도포할 수 있다. 클리닝 모듈 조립체(106)는 스테인레스 강, 플라스틱, PVC, PVDE, 폴리우레탄, 테프론 등으로 제조될 수 있으며, 바람직하게는 등급 316 스테인레스 강으로 제조될 수 있다. GCS(114) 및 액체 봉쇄 트레이(126)는 플라스틱 물질, 바람직하게는 불연성(non-flammable) 플라스틱으로 이루어질 수 있다. 프로세스 탱크(122)는 PVC, PVDF, 테프론 등과 같은 플라스틱, 바람직하게는 PVDF로 이루어질 수 있다. 그러나, BE(108) 및/또는 FI(132) 내에서 사용하기 위한 다른 적합한 물질 또는 코팅을 고려할 수 있음을 이해해야 한다.BE 108, FI 132 and robot frame assembly 140 may be made of stainless steel, preferably grade 316 stainless steel. The robot assembly 147 may be made of aluminum, stainless steel, or the like. If the robotic assembly 147 contains aluminum or susceptible materials, the surfaces of these aluminum portions can be anodized and coated with Teflon or the like to protect the surfaces of these aluminum portions from corrosion. The cleaning module assembly 106 can be made of stainless steel, plastic, PVC, PVDE, polyurethane, Teflon, and the like, and preferably made of grade 316 stainless steel. GCS 114 and liquid containment tray 126 may be made of a plastic material, preferably non-flammable plastic. Process tank 122 may be made of plastic, preferably PVDF, such as PVC, PVDF, Teflon, or the like. However, it should be understood that other suitable materials or coatings for use within BE 108 and / or FI 132 may be considered.

반도체 웨이퍼를 전해연마 또는 전기도금하기 위한 예시적인 프로세스는 FOUP(146) 내에 위치하는 웨이퍼를 포함하는 포드에 의해 시작한다. 이러한 포드, 또는 포드에 대한 도어가 개방되면, 로봇 조립체(147)가 내부로 접근할 수 있게 하여 엔드 이펙터(148)에 의해 웨이퍼를 집어올린다. 로봇 조립체(147) 및 건조 엔드 이펙터(148)는 웨이퍼를 웨이퍼 예비-정렬기(134)로 이송하여, 프로세싱을 위해 웨이퍼(150)를 정렬시킨다. 웨이퍼 예비-정렬기(134)가 웨이퍼(150)를 정렬한 후, 로봇 조립체(147)는 습윤 엔드 이펙터(149)를 사용해서 웨이퍼 예비-정렬기(134)로부터 웨이퍼(150)를 집어 올리고, 프로세싱을 위해 전해연마 또는 전기도금 조립체(131)에 웨이퍼(150)를 이송한다.An exemplary process for electropolishing or electroplating semiconductor wafers begins with a pod comprising a wafer located within FOUP 146. When the pod, or the door to the pod, is open, the robot assembly 147 is accessible internally, picking up the wafer by the end effector 148. The robotic assembly 147 and the dry end effector 148 transfer the wafer to the wafer pre-aligner 134 to align the wafer 150 for processing. After the wafer pre-aligner 134 aligns the wafer 150, the robotic assembly 147 picks up the wafer 150 from the wafer pre-aligner 134 using the wet end effector 149, The wafer 150 is transferred to the electropolishing or electroplating assembly 131 for processing.

전해연마 또는 전기도금 프로세스가 완료된 후, 로봇 조립체(147)는 습윤 엔드 이펙터(149)를 사용하여 웨이퍼(150)를 집어올리고, 클리닝 챔버 모듈(107) 안으로 웨이퍼를 이동시킨다. 클리닝 프로세스가 완료된 후, 건조 엔드 이펙터(148)가 웨이퍼(150)를 집어 올리고, 회수를 위해 FOUP(146) 내의 포드에 웨이퍼(150)를 되돌려 이송한다.After the electropolishing or electroplating process is completed, the robot assembly 147 picks up the wafer 150 using the wet end effector 149 and moves the wafer into the cleaning chamber module 107. After the cleaning process is complete, the dry end effector 148 picks up the wafer 150 and transfers the wafer 150 back to the pod in the FOUP 146 for recovery.

복수 웨이퍼 및 복수 전해연마 또는 전기도금 조립체를 포함하는 다른 예시적인 프로세스에 있어서, 상술한 예시적인 프로세스를 제 1 웨이퍼에 적용하는 동시에, 유사한 단계들을 제 2 웨이퍼, 제 3 웨이퍼 등에 적용할 수도 있다.In another exemplary process that includes a plurality of wafers and a plurality of electropolishing or electroplating assemblies, the above-described example process may be applied to the first wafer, while similar steps may be applied to the second wafer, the third wafer, and the like.

전해연마 또는 전기도금 조립체(100)의 여러 부품들을 아래에 보다 상세히 설명할 것이다. 예시적인 전해연마 및/또는 전기도금 장치를 특정한 실시예, 실례 및 응용분야에 대해 설명하였지만, 본 발명을 벗어나지 않고 다양한 개조와 변경이이루어질 수 있음은 당업자에게 명확할 것이다.Several components of the electropolishing or electroplating assembly 100 will be described in more detail below. Although exemplary electropolishing and / or electroplating devices have been described with respect to particular embodiments, examples, and applications, it will be apparent to those skilled in the art that various modifications and changes can be made without departing from the present invention.

Ⅱ. 엔드 이펙터 시일(End effector seal)II. End effector seal

반도체 조립체의 일 양상에서, 예시적인 엔드 이펙터 장치 및 방법을 설명한다. 엔드 이펙터는 통상, 예컨대, 다른 추가의 프로세싱 즉, 클리닝, 저장 등을 위해 하나의 프로세싱 모듈로부터 다른 프로세싱 모듈로 웨이퍼를 이송하는 웨이퍼 제조 프로세스에 사용된다. 일 실시예에 따른 예시적인 엔드 이펙터는 반도체 웨이퍼를 단단히 유지하고 이송하기 위한 진공 컵 시일을 포함한다. 예시적인 엔드 이펙터는 반도체 프로세싱 조립체 내부에 포함될 수 있고, 보다 상세하게는, 반도체 조립체의 로봇 조립체 내에 포함될 수 있다. 예시적인 엔드 이펙터는 반도체 웨이퍼 표면의 보다 단단한 유지를 가능하게 하며, 차례로, 웨이퍼의 목적지까지 보다 정확하고 신뢰성있게 웨이퍼를 이송시킬 수 있다.In one aspect of a semiconductor assembly, an exemplary end effector device and method are described. End effectors are commonly used in wafer fabrication processes, for example, transferring wafers from one processing module to another for other further processing, such as cleaning, storage, and the like. An exemplary end effector according to one embodiment includes a vacuum cup seal for firmly holding and transporting a semiconductor wafer. Exemplary end effectors may be included within a semiconductor processing assembly, and more specifically, may be included within a robotic assembly of a semiconductor assembly. Exemplary end effectors allow for tighter holding of the semiconductor wafer surface, which in turn can deliver the wafer more accurately and reliably to the wafer's destination.

도 2는 프로세싱 조립체 내에 반도체 웨이퍼를 이송하기 위한 예시적인 로봇 조립체를 도시한다. 로봇 조립체는 웨이퍼(216)를 집어올리고 이송하기 위한 로봇와 연관된 예시적인 엔드 이펙터(206)를 포함한다. 엔드 이펙터(206)는 밑면에 진공부를 가지고 있어서, 하나의 모듈로부터 다른 모듈로 이송하기 위해 웨이퍼(216)를 엔드 이펙터의 밑면에 고정시킨다. 엔드 이펙터(206)는, 중력이 시일을 극복하고 웨이퍼(216)가 엔드 이펙터(206)로부터 해제될 정도로 진공을 제거하거나 또는 압력을 증가시킴으로써 웨이퍼(216)를 위치시키거나 또는 해제시킨다. 또한, 엔드 이펙터(206)는 진동, 이송하는 동안의 가속 등에 대항해서 엔드 이펙터(206)에 웨이퍼(216)를 유지시키도록 주위보다 상대적으로 낮은 압력에 의해 웨이퍼(216)의밑면을 유지할 수도 있다.2 illustrates an example robotic assembly for transferring a semiconductor wafer into a processing assembly. The robotic assembly includes an example end effector 206 associated with a robot for picking up and transporting the wafer 216. The end effector 206 has a vacuum at the bottom to secure the wafer 216 to the bottom of the end effector for transfer from one module to another. The end effector 206 positions or releases the wafer 216 by removing vacuum or increasing pressure such that gravity overcomes the seal and the wafer 216 is released from the end effector 206. The end effector 206 may also hold the underside of the wafer 216 by a pressure lower than ambient to maintain the wafer 216 in the end effector 206 against vibration, acceleration during transfer, and the like.

도 3은 예시적인 엔드 이펙터(306)의 한쪽을 보다 상세히 도시한다. 도 3에 도시된 바와 같이, 엔드 이펙터(306)는 진공원(vacuum source)에 연결되어 있으며, 이러한 진공원은 진공 밸브(322)에 의해 제어되며, 질소 밸브(320)에 의해 제어되는 가압된 질소원을 갖추고 있다. 진공 밸브(322)가 작동되면, 진공원은 엔드 이펙터(306)에 연결되며 진공 컵(302) 내의 압력을 감소시켜서, 엔드 이펙터(306)에 웨이퍼(216)를 유지시킬 것이다. 진공 밸브(322)가 작동이 중지되고 질소 밸브(320)가 작동되면, 진공 컵(302) 내부의 압력이 증가함에 따라 엔드 이펙터(306)가 진공 컵(302)으로부터 웨이퍼(216)를 해제시킬 것이다.3 illustrates one side of an exemplary end effector 306 in more detail. As shown in FIG. 3, the end effector 306 is connected to a vacuum source, which is controlled by a vacuum valve 322 and pressurized controlled by a nitrogen valve 320. It has a nitrogen source. When the vacuum valve 322 is actuated, the vacuum source is connected to the end effector 306 and will reduce the pressure in the vacuum cup 302 to hold the wafer 216 at the end effector 306. When the vacuum valve 322 is deactivated and the nitrogen valve 320 is operated, the end effector 306 may release the wafer 216 from the vacuum cup 302 as the pressure inside the vacuum cup 302 increases. will be.

절대 진공 또는 거의 절대 진공이 요구되지 않음을 이해해야 한다. 오히려, 중력, 진동, 이송하는 동안의 가속도 등에 대항하여 웨이퍼(216)를 유지시키고 고정시키기에 충분한 프로세싱 환경에 비해 감소된 압력이면 충분하다. 또한, 웨이퍼를 해제시킬 때 가스를 도입시키고 압력을 증가시키기 위해, 질소 이외의 다른 가스, 예컨대 공기 등을 사용할 수 있다.It should be understood that no absolute vacuum or near absolute vacuum is required. Rather, reduced pressure is sufficient relative to a processing environment sufficient to hold and hold the wafer 216 against gravity, vibration, acceleration during transfer, and the like. In addition, other gases other than nitrogen, such as air, may be used to introduce gas and increase pressure when releasing the wafer.

미립자들을 제거하기 위해, 그리고/또는 엔드 이펙터(306) 내부의 진공 통로 또는 진공 컵(302)에 산(acid) 등이 유입되는 것을 방지하기 위해, 웨이퍼가 유지되지 않거나 또는 이송되지 않는 경우에 질소 밸브(320)는 작동 상태로 유지될 수 있다.Nitrogen when the wafer is not held or transported to remove particulates and / or to prevent acid or the like from entering the vacuum passage or vacuum cup 302 inside the end effector 306. The valve 320 can be kept in operation.

도 4a 및 도 4b는 하나의 예시적인 엔드 이펙터(406)의 평면도 및 횡단면도를 도시하는데, 이러한 엔드 이펙터(406)는 진공 컵(402), 버섯형 캡(mushroomcap; 404), 홈(405), (엔드 이펙터의 중량을 감소시키기 위한)절개부(408), 진공 통로(412) 및 (로봇 등에 부착하기 위한)스크류(416)를 포함한다. 엔드 이펙터(406)는 그 구성 내에 스테인레스 강, 알루미늄, 여러 합금 또는 금속, 세라믹, 플라스틱 등과 같은 임의의 적합한 물질을 포함할 수 있다.4A and 4B show a plan view and a cross-sectional view of one exemplary end effector 406, which includes a vacuum cup 402, a mushroom cap 404, a groove 405, A cutout 408 (to reduce the weight of the end effector), a vacuum passage 412 and a screw 416 (to attach to a robot or the like). End effector 406 may include any suitable material, such as stainless steel, aluminum, various alloys, or metals, ceramics, plastics, etc., in its configuration.

도 3 및 도 4a에 도시된 바와 같이, 진공원은 엔드 이펙터(406)의 말단 부근에 그리고 커다란 측면 상에 위치한 개구(414) 및 진공 통로(412)를 통해 가스를 제거한다. 진공 통로(412)는 (도시된 바와 같이) 엔드 이펙터의 내부에 또는 엔드 이펙터와 일체로 형성될 수 있거나, 또는 엔드 이펙터(406)에 인접하여 위치하는 개별의 통로를 통해, 예컨대 엔드 이펙터(406)의 대향면 상에 형성될 수 있다.As shown in FIGS. 3 and 4A, the vacuum source removes gas through the opening 414 and the vacuum passage 412 located near the distal end of the end effector 406 and on the large side. The vacuum passage 412 may be formed within the end effector (as shown) or integrally with the end effector, or through a separate passage located adjacent to the end effector 406, for example the end effector 406. It may be formed on the opposite surface of the).

진공 또는 감소된 압력이 진공 통로(412) 내에 생성되면, 엔드 이펙터(406)에 인접해서 위치하는 웨이퍼는 진공 컵(402)에 대항해서 유순하게 강제되거나 또는 잡아 당겨져서, 엔드 이펙터(402)의 진공 컵(402)과 웨이퍼의 마주하는 주요 표면 사이에 일시적인 시일이 생성된다. 진공 컵(402)은 타원형, 길다란 원형, 사각형 등과 같은 임의의 적합한 형상을 가질 수 있다. 진공 컵(402)은 버섯형 캡(404)의 림 위에 끼워맞추어지며 엔드 이펙터(406)의 표면 위로 연장한다. 진공 컵(402)은 스크래치 또는 크래킹과 같이 웨이퍼에 손상을 주지 않고 웨이퍼와 일시적인 시일을 생성하도록 대체로 가요성 또는 유연성을 가지는 엘라스토머, 실리콘 러버, 또는 다른 임의의 적합한 물질을 포함할 수 있다.If a vacuum or reduced pressure is created in the vacuum passage 412, the wafer located adjacent to the end effector 406 is forced or pulled against the vacuum cup 402, thereby vacuuming the end effector 402. A temporary seal is created between the cup 402 and the opposing major surface of the wafer. The vacuum cup 402 can have any suitable shape, such as oval, elongated circle, square, and the like. The vacuum cup 402 fits over the rim of the mushroom cap 404 and extends over the surface of the end effector 406. The vacuum cup 402 may comprise an elastomer, silicone rubber, or any other suitable material that is generally flexible or flexible to create a temporary seal with the wafer without damaging the wafer, such as scratching or cracking.

도 4a 및 도 4b에 도시된 바와 같이, 진공의 유지를 증가시키기 위한 버섯형 캡(404)을 가로질러 얕은 홈(405)이 형성되어 있어서, 웨이퍼(416)가 개구(414)에플러깅되는 것을 방지한다. 홈(405)은 버섯형 캡(404)의 상부 평면을 2 개의 절반의 원으로 분리시킨다. 얕은 홈(405)은 또한 크로스-헤어(cross-shape) 형상, 사각형, 원형, 또는 다른 적합한 형상으로 형성되어, 엔드 이펙터(406)의 진공과 흡입을 향상시키고, 블로킹되는 것으로부터 개구(414)에 대한 포텐셜을 감소시킨다. 버섯형 캡(404)은 금속 또는 플라스틱과 같이 엔드 이펙터(406)와 유사한 물질로 이루어진다. 일례로서, 버섯형 캡(404)은 엔드 이펙터(406)의 말단과 유사한 높이에 있어서(도 4b 참조), 웨이퍼가 진공 컵(402)에 의해 잡아 당겨지면, 웨이퍼는 버섯형 캡(404)과 말단에 대항해서 잡아 당겨진다.As shown in FIGS. 4A and 4B, shallow grooves 405 are formed across the mushroom cap 404 to increase retention of the vacuum, so that the wafer 416 is plugged into the opening 414. prevent. The groove 405 separates the top plane of the mushroom cap 404 into two half circles. Shallow groove 405 is also formed in a cross-shape shape, square, round, or other suitable shape to improve the vacuum and suction of end effector 406 and to prevent opening 414 from blocking. Reduces the potential for The mushroom cap 404 is made of a material similar to the end effector 406, such as metal or plastic. As an example, the mushroom cap 404 is at a height similar to the distal end of the end effector 406 (see FIG. 4B), and when the wafer is pulled by the vacuum cup 402, the wafer is in contact with the mushroom cap 404. Pulled against the end.

도 8은 예시적인 엔드 이펙터 내에 포함될 수 있는 진공 컵의 횡단면도이다. 도 8에 도시된 바와 같이, 진공 컵은 대개 엔드 이펙터의 한 표면 상에 형성된 공동(cavity)이며, 이러한 공동은 대개 각도(α) 만큼 경사진 측벽(820) 및 바닥부(818)를 포함할 수 있다. 각도(α)는 특별한 응용분야에 따라 0도 내지 180도 사이에서 변화할 수 있으며, 바람직하게는 5도 내지 50도, 보다 바람직하게는 약 30도이다. 측벽(820)은 유순하게 엔드 이펙터의 표면 위로 높이(H)까지 연장하며 웨이퍼와 시일을 형성할 수 있다. 도 4a, 도 4b 및 도 8을 다시 참조하면, 엔드 이펙터(406)는, 개구(414)로부터 진공 통로(410)를 통해 가스가 빨려나올 때 웨이퍼(416)가 측벽(820)의 엣지(edge)와 접촉할 정도로 위치할 것이다. 진공 컵(402)은 진공 컵(402)의 공동 내에 생성된 진공에 의해 웨이퍼(416)를 잡아 당기고 유지시킬 것이다. 이러한 압력차는 웨이퍼(416) 상의 유지력을 웨이퍼 상의 중력의 힘보다 크게 지속시키기에 충분한 힘을 생성시킨다. 엔드 이펙터(406)의 유지로부터웨이퍼(1016)를 해제하기 위해, 진공 통로(410)를 통해 그리고 개구(414)를 통해 가스(예컨대, 질소 등)를 인도시킬 수 있어서, 유지력이 중력에 의해 극복되도록 개구(414) 내부의 압력을 증가시킨다.8 is a cross-sectional view of a vacuum cup that may be included in an exemplary end effector. As shown in FIG. 8, the vacuum cup is usually a cavity formed on one surface of the end effector, which will typically include a sidewall 820 and a bottom 818 that are inclined by an angle α. Can be. The angle α can vary between 0 degrees and 180 degrees depending on the particular application, preferably 5 degrees to 50 degrees, more preferably about 30 degrees. The sidewalls 820 may extend smoothly to the height H over the surface of the end effector and form a seal with the wafer. Referring again to FIGS. 4A, 4B and 8, the end effector 406 allows the wafer 416 to edge off the sidewalls 820 when gas is drawn from the opening 414 through the vacuum passage 410. Will be located in contact with The vacuum cup 402 will pull and hold the wafer 416 by the vacuum created in the cavity of the vacuum cup 402. This pressure difference creates a force sufficient to sustain the holding force on the wafer 416 above the force of gravity on the wafer. In order to release the wafer 1016 from the maintenance of the end effector 406, it is possible to guide gas (eg, nitrogen, etc.) through the vacuum passage 410 and through the opening 414 so that the holding force is overcome by gravity. The pressure inside the opening 414 is increased as much as possible.

도 5는 다른 예시적인 엔드 이펙터(506)의 평면도이다. 도 5에 도시된 엔드 이펙터(506)는 3 개의 개구(514) 및 3 개의 진공 컵(502)을 포함한다는 것을 제외하고 도 3, 도 4a 및 도 4b의 엔드 이펙터와 유사하다. 개구(514) 및 진공 컵(502)은 엔드 이펙터(506)의 특별한 응용 분야와 구성에 따라 엔드 이펙터(506) 상의 여러 위치에 위치할 수 있다. 또한, 엔드 이펙터의 형상은 편자(horseshoe), 직사각형, 원형, 하나 이상의 갈라진 가닥(prong)을 포함하는 갈퀴형 등과 같은 임의의 적합한 형상을 포함할 수 있다.5 is a top view of another exemplary end effector 506. The end effector 506 shown in FIG. 5 is similar to the end effector of FIGS. 3, 4A and 4B except that it includes three openings 514 and three vacuum cups 502. The opening 514 and vacuum cup 502 may be located at various locations on the end effector 506 depending on the particular application and configuration of the end effector 506. In addition, the shape of the end effector may include any suitable shape, such as horseshoe, rectangle, circle, rake shape including one or more prongs, and the like.

도 6은 다른 예시적인 엔드 이펙터(606)의 평면도를 도시한다. 엔드 이펙터(606)는 다수의 진공 컵(602), 여기서는 5 개의 진공 컵(602)을 구비한다는 점을 제외하고는 도 4a 및 도 4b의 엔드 이펙터와 유사하며, 진공 컵(602) 각각은 (원형이 아닌) 긴 버섯형 캡(604)을 포함한다. 또한, 엔드 이펙터(606)는 도 5와 반대로 개구(614)에 인접해서 위치하는 공통 진공 통로를 포함하며, 이러한 공통 진공 통로는 각각의 개별의 개구(514)에 대해 별도로 갈라진 진공 통로를 포함한다.6 shows a top view of another exemplary end effector 606. The end effector 606 is similar to the end effector of FIGS. 4A and 4B except that it has a plurality of vacuum cups 602, here five vacuum cups 602, each of which is a ( Long mushroom cap 604 (not circular). In addition, the end effector 606 includes a common vacuum passage located adjacent to the opening 614, as opposed to FIG. 5, which includes a separate vacuum passage for each individual opening 514. .

도 7은 다른 예시적인 엔드 이펙터(706)의 평면도를 도시한다. 도 7의 엔드 이펙터(706)는, 하나의 진공 컵(702)이 내부에 다수의 개구(714)를 포함한다는 점을 제외하고는 도 3a 및 도 3b의 엔드 이펙터와 유사하다. 본 실시예의 진공 컵(702)은 편자와 같은 형상이지만, 진공 컵(402)과 유사한 기능을 가지며, 버섯형캡(604)과 유사한 여러 개의 긴 버섯형 캡(704)을 포함한다.7 shows a top view of another example end effector 706. The end effector 706 of FIG. 7 is similar to the end effector of FIGS. 3A and 3B except that one vacuum cup 702 includes a number of openings 714 therein. The vacuum cup 702 of this embodiment is shaped like a horseshoe, but has a function similar to the vacuum cup 402, and includes several long mushroom caps 704 similar to the mushroom cap 604.

특정 실례와 응용분야에 관해 예시적인 엔드 이펙터를 설명하였지만, 본 발명을 벗어나지 않고 여러 개조와 변화가 이루어질 수 있음이 당업자에게는 명확할 것이다. 예컨대, 진공 컵 내부에 진공을 생성시키는 여러 방법을 고려할 수 있으며, 웨이퍼를 집어 올리고 이송시킬 때 시일을 형성하기 위한 여러 다른 형상 및 구성의 진공 컵 및 버섯형 캡을 고려할 수 있다.While exemplary end effectors have been described with respect to specific examples and applications, it will be apparent to those skilled in the art that various modifications and variations can be made without departing from the invention. For example, various methods of creating a vacuum inside the vacuum cup may be considered, and vacuum cups and mushroom caps of different shapes and configurations for forming a seal when picking up and transferring the wafer may be considered.

Ⅲ. 웨이퍼 클리닝 방법 및 장치III. Wafer cleaning method and apparatus

반도체 조립체의 예시적인 하나의 양상에서, 예시적인 웨이퍼 클리닝 방법 및 장치를 개시한다. 예시적인 웨이퍼 클리닝 방법 및 장치는 전해연마 또는 전기도금 프로세스 전에 웨이퍼의 파편 또는 미립자를 클리닝할 뿐만 아니라, 전해연마 또는 전기도금 프로세싱 단계 후에 웨이퍼의 프로세싱 액체를 클리닝할 수 있다. 예컨대, 전해연마 프로세스 후에, 웨이퍼의 주요 표면의 외부 영역 또는 엣지(종종, "베벨 영역(bevel region)"이라고 함)는 구리 잔류물을 포함할 수 있다. 외부 영역으로부터 이러한 구리 잔류물을 에칭시키고 웨이퍼의 내부 영역의 얇은 금속층을 손상시키지 않고 웨이퍼를 클리닝하는 것이 바람직하다. 따라서, 일 양상에서, 클리닝 모듈은 웨이퍼의 외부 또는 엣지 부분 상의 금속 잔류물을 제거하도록 엣지 클리닝 조립체를 포함한다. 이러한 엣지 클리닝 조립체는 웨이퍼의 주요 표면에 액체 및 가스를 공급하도록 구성된 노즐 헤드를 포함한다. 노즐은 엣지 영역내에 액체를 공급하고 엣지의 내부 엣지에 가스를 공급해서, 웨이퍼 상에서 금속막으로 내부 반경방향으로 유동하는 액체의 포텐셜을 감소시킨다.In one exemplary aspect of a semiconductor assembly, an exemplary wafer cleaning method and apparatus are disclosed. Exemplary wafer cleaning methods and apparatus may not only clean up debris or particulates of the wafer prior to the electropolishing or electroplating process, but may also clean the processing liquid of the wafer after the electropolishing or electroplating processing step. For example, after the electropolishing process, the outer region or edge of the major surface of the wafer (often referred to as the "bevel region") may comprise copper residues. It is desirable to etch this copper residue from the outer region and to clean the wafer without damaging the thin metal layer of the inner region of the wafer. Thus, in one aspect, the cleaning module includes an edge cleaning assembly to remove metal residue on the outer or edge portion of the wafer. This edge cleaning assembly includes a nozzle head configured to supply liquid and gas to the major surface of the wafer. The nozzle supplies liquid in the edge region and gas to the inner edge of the edge, thereby reducing the potential of the liquid flowing radially inwardly from the wafer to the metal film.

도 9a 내지 도 9c는 웨이퍼를 클리닝하기 위한 예시적인 클리닝 챔버 모듈의 여러 도면을 도시한다. 도 9a 내지 도 9c에 도시된 바와 같이, 예시적인 클리닝 챔버 모듈은 돔 커버(dome cover; 902), 클리닝 챔버 윈도우(904), 실린더 커버(906), 누수 센서(908), 드립 팬 드레인 라인(910), 베이스 블록(912), 드립 팬 클램프(914), 드립 팬(922)(후부) 및 (926)(상부), 2 개의 질소 노즐(924)(후면) 및 (928)(상부), 엣지 클리닝 조립체(930), 광 센서(932), 웨이퍼 전방 화학물질용 노즐(934), 척(chuck; 936), 드레인 플레이트(drain plate; 938), 상부 챔버(940), 배출 드레인 튜브(942), 질소 라인(944), 엣지 클리닝 커버(946), 웨이퍼 후부 화학물질용 노즐(948), 및 척 모터 조립체(chuck motor assembly; 950)를 포함할 수 있다. 화학물질을 위한 하나의 노즐(934)에 추가로, 클리닝 챔버 모듈은 하나 이상의 화학물질을 위한 노즐을 포함할 수 있다.9A-9C show several views of an exemplary cleaning chamber module for cleaning a wafer. As shown in FIGS. 9A-9C, an exemplary cleaning chamber module includes a dome cover 902, a cleaning chamber window 904, a cylinder cover 906, a leak sensor 908, a drip pan drain line ( 910, base block 912, drip pan clamp 914, drip pan 922 (rear) and 926 (top), two nitrogen nozzles 924 (rear) and 928 (top), Edge cleaning assembly 930, light sensor 932, wafer front chemical nozzle 934, chuck 936, drain plate 938, top chamber 940, drain drain tube 942 ), A nitrogen line 944, an edge cleaning cover 946, a nozzle 948 for wafer back chemical, and a chuck motor assembly 950. In addition to one nozzle 934 for chemicals, the cleaning chamber module may include nozzles for one or more chemicals.

웨이퍼(901)는 엔드 이펙터(903) 등에 의해 클리닝 챔버 내에 위치할 수 있다. 웨이퍼(901)가 클리닝 프로세스를 위한 척(936) 상의 허용가능한 위치 내에 위치하도록 결정되면, 척 모터 조립체(950)는 웨이퍼의 주요 표면에 수직한 축선을 중심으로 척(936) 및 웨이퍼(901)를 회전시킬 수 있다. 척(936) 및 웨이퍼(901)가 약 30 rpm의 회전 속도로 회전하면, DI수 노즐(922, 926)은 웨이퍼(901)의 상부 및 후방 표면에 DI수의 스트림을 공급할 수 있다. 이러한 DI수는 웨이퍼(901)의 엣지를 지나 클리닝 챔버의 벽을 향해 유동할 수 있고 드레인 플레이트(938)를 통해 배출 드레인 튜브(942) 안으로 드레인된다. 건조 웨이퍼(901)로부터 그리고 건조 웨이퍼(901)로의 DI수를 제거하기 위해, 척 모터 조립체(950)는 2000 rpm ±1000 rpm까지 회전 속도를 증가시킬 수 있다. 그러면, 질소 노즐(924, 928)은 웨이퍼(901)의 상부 및 후방으로 질소의 스트림(또는 다른 적합한 가스의 스트림)을 공급하여, 웨이퍼(901)의 상부 및 후방으로부터 DI수를 추가로 제거한다.The wafer 901 may be located in the cleaning chamber by the end effector 903 or the like. Once the wafer 901 is determined to be located within an acceptable position on the chuck 936 for the cleaning process, the chuck motor assembly 950 will move about the chuck 936 and wafer 901 about an axis perpendicular to the major surface of the wafer. Can be rotated. As the chuck 936 and wafer 901 rotate at a rotational speed of about 30 rpm, the DI water nozzles 922 and 926 can supply a stream of DI water to the top and back surfaces of the wafer 901. This DI water may flow past the edge of the wafer 901 towards the wall of the cleaning chamber and is drained through the drain plate 938 into the drain drain tube 942. To remove DI water from and to the dry wafer 901, the chuck motor assembly 950 can increase the rotation speed to 2000 rpm ± 1000 rpm. The nitrogen nozzles 924 and 928 then supply a stream of nitrogen (or a stream of other suitable gas) to the top and back of the wafer 901 to further remove DI water from the top and back of the wafer 901. .

웨이퍼(901)를 씻어내고 건조시키고 척 모터 조립체(950)를 정지시킨 후, 엣지 클린 조립체(930)는 엣지 클리닝을 위한 위치로 미끄러진다. 도 10a 및 도 10b는 예시적인 웨이퍼 엣지 클린 조립체(930)를 도시하는데, 이러한 웨이퍼 엣지 클린 조립체(930)는 DI수 튜브(1006), 로드(1010), 어댑터 로드(1008), 브라켓(1012), 스크류(1014), 공기 테이블 실린더(1016), 조절식 스크류(1018), 유동 조절기(1020), 압축공기 튜브(1022), 로드 클램프(1024), 산 튜브(1026), 질소 튜브(1028), 노즐 헤드(1030), 로드 위퍼(1032), 질소 노즐(1034) 및 액체 노즐(1036)을 포함한다. 엣지 클린 조립체(930)의 길이는 어댑터 로드(1008)를 추가하거나 또는 제거함으로써 200 mm 웨이퍼, 300 mm 웨이퍼, 또는 다른 크기의 웨이퍼를 사용하기 위해 조절될 수 있다. 웨이퍼(901)의 상부와 질소 노즐(1034) 사이의 갭은 0.1 mm 내지 10 mm의 범위에 있을 수 있으며, 액체 노즐(1036)은 엣지 영역(1004) 위에 위치할 수 있다.After washing and drying the wafer 901 and stopping the chuck motor assembly 950, the edge clean assembly 930 slides into position for edge cleaning. 10A and 10B show an exemplary wafer edge clean assembly 930, which includes a DI water tube 1006, a rod 1010, an adapter rod 1008, and a bracket 1012. , Screw 1014, air table cylinder 1016, adjustable screw 1018, flow regulator 1020, compressed air tube 1022, rod clamp 1024, acid tube 1026, nitrogen tube 1028 , Nozzle head 1030, rod whipper 1032, nitrogen nozzle 1034, and liquid nozzle 1036. The length of the edge clean assembly 930 can be adjusted for use with 200 mm wafers, 300 mm wafers, or other size wafers by adding or removing adapter rods 1008. The gap between the top of the wafer 901 and the nitrogen nozzle 1034 may be in the range of 0.1 mm to 10 mm, and the liquid nozzle 1036 may be located above the edge region 1004.

도 11a 내지 도 11c는 각각 엣지 클린 조립체와 함께 포함된 예시적인 노즐 헤드(1030)의 평면도, 측면도 및 정면도이다. 도 11a 내지 도 11c에 도시되 바와 같이, 질소 노즐(1034)은 웨이퍼(901)의 엣지 부근에 질소 가스의 질소 커튼(1102)을 발생시킨다. 예시적인 엣지 클리닝 프로세스에서, 웨이퍼(901)는 대략 50 rpm 내지 500 rpm의 회전 속도, 바람직하게는 200 rpm의 속도로 회전할 수 있다. 액체노즐(1036)은 화학물질의 스트림을 공급해서, 엣지 영역(1004) 또는 웨이퍼(904)의 외부 주요 표면 상에 폭이 약 10 mm인 얇은 층을 형성한다. 화학물질은 금속층 또는 금속 잔류물을 제거하지만, 화학물질은 우연히도 웨이퍼(901)의 중심을 향해 퍼져나갈 수도 있으며, 이러한 화학물질은 금속층 상에 해로운 영향을 줄 수 있다. 엣지 영역(1004) 내의 금속 잔류물을 에칭시키기 위해 다양한 화학 물질이 사용될 수 있다. 예컨대, 엣지 영역(1004)으로부터 구리 금속을 에칭하기 위해 10% 농도의 H4SO4및 20% 농도의 H2O2를 사용할 수 있다. 또한, 에칭율을 증가시키기 위해, 화학물질 용액을 25℃ 내지 80℃의 범위로 가열시킬 수 있다.11A-11C are top, side and front views, respectively, of an exemplary nozzle head 1030 included with an edge clean assembly. As shown in FIGS. 11A-11C, the nitrogen nozzle 1034 generates a nitrogen curtain 1102 of nitrogen gas near the edge of the wafer 901. In an exemplary edge cleaning process, the wafer 901 may rotate at a rotational speed of approximately 50 rpm to 500 rpm, preferably at 200 rpm. The liquid nozzle 1036 supplies a stream of chemicals to form a thin layer about 10 mm wide on the edge region 1004 or the outer major surface of the wafer 904. The chemical removes the metal layer or metal residue, but the chemical may inadvertently spread toward the center of the wafer 901, which may have a detrimental effect on the metal layer. Various chemicals may be used to etch metal residues in the edge region 1004. For example, 10% H 4 SO 4 and 20% H 2 O 2 may be used to etch copper metal from the edge region 1004. In addition, to increase the etching rate, the chemical solution may be heated to a range of 25 ° C to 80 ° C.

엣지로부터 내부로 퍼지는 화학물질에 대한 포텐셜을 감소시키기 위해, 질소 노즐(1034)은 가스의 스트림, 예컨대 질소의 스트림을 공급 또는 인도해서, 엣지 영역(1004)의 내부 엣지에 질소 커튼(1102)을 생성시킴으로써, 화학물질의 포텐셜이 웨이퍼(901)의 중심을 향해 퍼지는 것을 방지하거나 또는 적어도 감소시킨다. 엣지 영역(1004)이 세척된 후, 액체 노즐(1036)은 DI수의 액체 제트(1104)를 공급해서, 웨이퍼(901)의 엣지 영역(1004)에서 화학물질을 묽게 하고/또는 린싱할 수 있다. 추가로, 일례에서, 엣지 클리닝 프로세스 후에, 웨이퍼(901)의 상부 및 후부를 클리닝하도록 DI수 노즐(922, 926)을 사용함으로써 추가의 DI수 세척이 실행될 수 있다.To reduce the potential for chemicals to spread from the edges inwardly, the nitrogen nozzle 1034 supplies or directs a stream of gas, such as a stream of nitrogen, to provide a nitrogen curtain 1102 to the inner edge of the edge region 1004. By generating, the potential of the chemical is prevented or at least reduced from spreading towards the center of the wafer 901. After the edge region 1004 has been cleaned, the liquid nozzle 1036 may supply a liquid jet 1104 of DI water to dilute and / or rinse the chemical at the edge region 1004 of the wafer 901. . Further, in one example, after the edge cleaning process, additional DI water washing may be performed by using DI water nozzles 922, 926 to clean the top and back of the wafer 901.

엣지 클리닝 프로세스가 종료되면, 척 모터 조립체(950)는 척(936) 및 웨이퍼(901)가 회전하는 것을 중단시킬 수 있고, 엣지 클린 조립체(930)는 엣지 클리닝위치로부터 정지 위치로 되돌아 미끄러져갈 수 있다.When the edge cleaning process is finished, the chuck motor assembly 950 can stop the chuck 936 and wafer 901 from rotating, and the edge clean assembly 930 can slide back from the edge cleaning position to the stop position. have.

도 11d 및 도 11e는 다른 예시적인 노즐 헤드(1030)의 여러 도면을 도시한다.도 11d 및 도 11e의 실례는, 질소 노즐(1034)이 노즐로부터 연장하는 수평 스팬(1034h)을 구비한다는 점을 제외하고는 도 11a 내지 도 11c의 것과 유사하다. 이러한 수평 스팬(1034h)은 엣지 노즐(1036)로부터의 화학물질이 웨이퍼(901)의 중심을 향해 퍼져나가는 것을 보다 효과적으로 방지하는 질소 커튼(3002)을 형성할 수 있다. 수평 스팬(1034h)과 웨이퍼(901)의 표면 사이의 거리는 바람직하게는 대략 0.1 mm 내지 0.3 mm의 범위에 있고, 보다 바람직하게는 대략 1.5 mm이다.11D and 11E show several views of another exemplary nozzle head 1030. The example of FIGS. 11D and 11E shows that nitrogen nozzle 1034 has a horizontal span 1034h extending from the nozzle. Except that it is similar to that of FIGS. 11A-11C. This horizontal span 1034h may form a nitrogen curtain 3002 that more effectively prevents chemicals from the edge nozzle 1036 from spreading toward the center of the wafer 901. The distance between the horizontal span 1034h and the surface of the wafer 901 is preferably in the range of approximately 0.1 mm to 0.3 mm, more preferably approximately 1.5 mm.

도 11f 및 도 11g는 다른 예시적인 노즐 헤드(1030)의 여러 도면을 도시한다. 도 11f 및 도 11g의 실례는, 노즐 스팬이 노즐의 하부의 양 측면으로부터 연장한다는 것을 제외하고는 도 11d 및 도 11e의 것과 유사하다.11F and 11G show several views of another exemplary nozzle head 1030. The examples of FIGS. 11F and 11G are similar to those of FIGS. 11D and 11E except that the nozzle span extends from both sides of the bottom of the nozzle.

도 11h는 다른 예시적인 노즐 헤드(1030)를 도시한다. 도 11h의 실례는, 이러한 노즐 헤드(1030)가 2 개의 액체 노즐(1036)을 구비하며, 하나는 화학물질을 위한 것이며 다른 하나는 DI수를 위한 것이라는 점을 제외하고는 도 11a 내지 도 11c의 것과 유사하다. 개별의 노즐은 예컨대, DI수 린싱 동안 향상된 성능을 제공할 수 있다.11H shows another exemplary nozzle head 1030. The example of FIG. 11H shows that the nozzle head 1030 has two liquid nozzles 1036, one for chemicals and the other for DI water, of FIG. 11A-11C. Similar to Individual nozzles may, for example, provide improved performance during DI water rinsing.

도 12는 웨이퍼 클리닝 장치 내에 포함될 수 있는 예시적인 척 모터 조립체(950)를 도시한다. 본 실례에서, 척 모터 조립체(950)는 척(936), 상부 모터 플레이트(1202), 광 센서(1204), 샤프트 슬리브(1206), 모터(1208), 플래그(1210), 스페이서(1212), 원심 블록 샤프트(1214), 원심 블록(1216) 및 플러그(1218)를 포함한다.12 illustrates an example chuck motor assembly 950 that may be included in a wafer cleaning apparatus. In this example, the chuck motor assembly 950 includes a chuck 936, an upper motor plate 1202, an optical sensor 1204, a shaft sleeve 1206, a motor 1208, a flag 1210, a spacer 1212, Centrifugal block shaft 1214, centrifugal block 1216 and plug 1218.

도 9a, 도 9b 및 도 10a를 다시 참조하면, 척(936) 상에 웨이퍼(901)를 위치시키기 위해, 엔드 이펙터(903)는 프로세스 챔버 또는 예비-정렬기(도 1 참조)로부터 웨이퍼(901)를 가져오고, 클리닝을 위해 클리닝 챔버 윈도우(904)를 통해 클리닝 챔버 모듈로 이 웨이퍼(901)를 이동시킨다. 도 13은 내부 플레이트(1302), 외부 플레이트(1304), 브라켓(1306), 유동 제어기(1308), 실린더(1310), 실린더 커버(906), 및 제한 센서(1312)를 포함하는 예시적인 클리닝 챔버 윈도우(904)를 도시한다. 실린더(1310)는 웨이퍼 클리닝 프로세스가 시작되도록 외부 플레이트(1304)를 상승시고 클리닝 챔버 윈도우(904)를 폐쇄시킬 수 있다.9A, 9B, and 10A, in order to position the wafer 901 on the chuck 936, the end effector 903 is a wafer 901 from a process chamber or pre-aligner (see FIG. 1). ) And move this wafer 901 to the cleaning chamber module through the cleaning chamber window 904 for cleaning. 13 illustrates an exemplary cleaning chamber including an inner plate 1302, an outer plate 1304, a bracket 1306, a flow controller 1308, a cylinder 1310, a cylinder cover 906, and a limit sensor 1312. Show window 904. The cylinder 1310 may raise the outer plate 1304 and close the cleaning chamber window 904 to begin the wafer cleaning process.

도 12에 도시된 바와 같이, 예시적인 척(936)은 베이스(1220) 및 3 개의 포지셔너(positioner; 1222)를 포함한다. 척(936)은 200 mm 웨이퍼, 300 mm 웨이퍼, 또는 다른 웨이퍼 크기를 위해 변경될 수 있다. 엔드 이펙터(903)가 척(936) 내에 웨이퍼(901)를 로딩하면, 웨이퍼(901)는 3 개의 포지셔너(1222)에 의해 척(936) 내에 위치한다. 도 9a 내지 도 9c를 참조하면, 광 센서(932)는 척(936) 내의 웨이퍼(901)의 위치를 검출할 수 있다. 웨이퍼 위치설정에 있어서의 에러를 체크하기 위해, 도 15에 도시된 바와 같이 광 센서(932)는 웨이퍼(901)의 상부면에 비임(beam)을 인도한다. 엔드 이펙터(903)가 포지셔너(1222)의 상부면 상에 웨이퍼(901)를 위치시킨다면, 비임은 반사 센서(932)로 완전히 되돌아 반사되지 않을 것이다. 척(936)이 회전하면, 반사율은 대응해서 변화할 수 있다. 또한, 웨이퍼(901)와 반사 센서(932) 사이의 거리가 변화하므로, 반사도에서의 차이 또는 변화는 웨이퍼(901)가 척(936) 및 3 개의 포지셔너(1222) 상에 정확하게 위치되었는지 아닌지를 확인하는데 사용될 수 있다. 일례에서, 웨이퍼(901)가 척(936) 상에 정확하게 위치된다면, 3 개의 포지셔너(1222)에 의해, 척이 회전하는 동안 반사도는 대략 70% 내지 75%의 범위로 측정된다. 그러나, 웨이퍼(901)가 정확히 위치하지 않는다면, 반사도는 대략 30% 내지 60%의 범위로 측정된다. 잘 못 위치한 웨이퍼는 척(936)이 고속을 회전할 때 척(936) 밖으로 빠져나올 수 있으며, 이로 인해, 웨이퍼(901)가 클리닝 챔버 모듈의 내부에서 깨져버릴 수도 있다.As shown in FIG. 12, an exemplary chuck 936 includes a base 1220 and three positioners 1222. The chuck 936 can be modified for 200 mm wafers, 300 mm wafers, or other wafer sizes. When end effector 903 loads wafer 901 into chuck 936, wafer 901 is positioned within chuck 936 by three positioners 1222. 9A-9C, the optical sensor 932 may detect the position of the wafer 901 within the chuck 936. To check for errors in wafer positioning, the optical sensor 932 guides a beam to the top surface of the wafer 901 as shown in FIG. 15. If the end effector 903 positions the wafer 901 on the top surface of the positioner 1222, the beam will not reflect back completely to the reflection sensor 932. As the chuck 936 rotates, the reflectance can correspondingly change. In addition, since the distance between the wafer 901 and the reflection sensor 932 changes, the difference or change in reflectivity confirms whether the wafer 901 is correctly positioned on the chuck 936 and the three positioners 1222. It can be used to In one example, if the wafer 901 is correctly positioned on the chuck 936, the three positioners 1222 measure the reflectivity in the range of approximately 70% to 75% while the chuck is rotating. However, if the wafer 901 is not positioned correctly, the reflectivity is measured in the range of approximately 30% to 60%. The misplaced wafer may exit the chuck 936 as the chuck 936 rotates at high speed, which may cause the wafer 901 to break inside the cleaning chamber module.

도 14는 예시적인 광 센서(932)를 도시하는데, 이러한 광 센서(932)는 피팅 튜브(1402), 피팅 오-링(1404), 반사 센서(1406), 홀더(1408), 비통(viton) 오-링(1410), 및 홀더 플랜지(1412)를 포함한다. 척(936)과 관련한 웨이퍼의 적절한 위치설정을 결정하기 우해 다른 적합한 광 센서가 사용될 수 있음을 인식해야 한다. 다른 실례에서, 광 센서(932)는 근접 센서, 와전류 센서, 음향 센서 등과 같이 웨이퍼의 표면을 측정하는 비-광 센서로 대체될 수 있다.14 shows an exemplary optical sensor 932, which includes a fitting tube 1402, a fitting o-ring 1404, a reflective sensor 1406, a holder 1408, and a viton. O-ring 1410, and holder flange 1412. It should be appreciated that other suitable optical sensors may be used to determine proper positioning of the wafer relative to the chuck 936. In another example, light sensor 932 may be replaced with a non-light sensor that measures the surface of the wafer, such as a proximity sensor, eddy current sensor, acoustic sensor, and the like.

웨이퍼(901)가 건조 사이클 등과 같은 여러 클리닝 프로세스 동안 비교적 높은 원심력의 운동에 의해 척(936)의 외부로 스핀회전(spinning)하는 것을 방지하기 위해, 척 포지셔너(1222)는 원심 블록(1216)을 포함할 수 있다. 이러한 원심 블록(1216)은 상부 부분보다 무거운 대략 하부 요소(중량부)를 포함할 수 있는데, 이러한 상부 부분은 대략 원심 블록 샤프트(1214)까지 이다. 척(936)이 약 1000 rpm 이상의 회전 속도로 회전하는 경우, 원심력은 원심 블록(1216) 내의 중량부가 외측으로 회전하게 할 것이다. 결과적으로, 원심 블록(1216)의 상부 부분은 척(936)에웨이퍼(901)를 유지하고 고정시키도록 안쪽으로 이동한다. 원심 블록(1216)과 포지셔너(1222)의 무게, 길이 등은 포지셔너(1222)가 웨이퍼를 고정시키도록 이동하는 속도를 변화시키기 위해 변경될 수 있다. 척 모터 조립체(950)가 감속하거나 또는 정지하면, 원심 블록(1216)은 감소된 또는 제로의 원심력으로 인해 직립 위치로 복귀한다. 웨이퍼를 고정시키기 위해, 척 회전 속도를 대략 200 내지 3000 rpm의 범위, 바람직하게는 2000 rpm으로 설정한다.In order to prevent the wafer 901 from spinning out of the chuck 936 by the movement of relatively high centrifugal force during various cleaning processes, such as drying cycles, the chuck positioner 1222 may move the centrifugal block 1216. It may include. This centrifugal block 1216 may comprise approximately lower elements (weights) that are heavier than the upper portion, which is up to approximately the centrifugal block shaft 1214. When the chuck 936 rotates at a rotational speed of about 1000 rpm or more, the centrifugal force will cause the weight in the centrifugal block 1216 to rotate outward. As a result, the upper portion of the centrifugal block 1216 moves inward to hold and secure the wafer 901 to the chuck 936. The weight, length, etc. of the centrifugal block 1216 and the positioner 1222 can be changed to change the speed at which the positioner 1222 moves to fix the wafer. When the chuck motor assembly 950 slows down or stops, the centrifugal block 1216 returns to the upright position due to the reduced or zero centrifugal force. To fix the wafer, the chuck rotational speed is set in the range of approximately 200 to 3000 rpm, preferably 2000 rpm.

도 16a 내지 도 16c는 예시적인 후방 웨이퍼 클리닝 프로세스와 포지셔너(1222) 및 웨이퍼 후방 화학물질(948)과 관련한 웨이퍼를 도시한다. 예시적인 웨이퍼 후방 클리닝 프로세스에서, 3 개의 웨이퍼 포지셔너(1222)에 튀겨서 더럽히지 않고 웨이퍼(901)의 후방에 화학물질이 전달될 수 있도록, 모터(1208)는 웨이퍼 후방 화학물질용 노즐(948)과 대면하도록 척(936)을 진동시킨다. 웨이퍼 포지셔너(1222)와 접촉하는 화학물질은 웨이퍼(901)의 상부면 상에 튀기고 상부면을 화학적으로 에칭시킬 수 있는데, 이로 인해, 웨이퍼(901) 상에 형성된 구조물 및 장치에 흠집을 낼 수 있다. 후방 화학물질용 노즐(948)은 2 개의 포지셔너(1222) 사이에 위치할 수 있으며 각도(β) 내지 각도(-β)에서 진동할 수 있다. 이러한 후방 화학물질용 노즐(948)은, 도 16a 내지 도 16c에 도시된 바와 같이, 각도(γ) 내지 각도(-γ)에서 후방 화학물질을 이동시킴으로써 중심에서 벗어나 후방 화학물질(948)을 인도하여 각도(β) 및 각도(-β)를 초과하여 웨이퍼(901)의 영역을 덮을 수 있다.16A-16C illustrate an example back wafer cleaning process and wafer associated with positioner 1222 and wafer back chemical 948. In an exemplary wafer back cleaning process, the motor 1208 is coupled with a nozzle 948 for wafer back chemical so that chemicals can be delivered to the back of the wafer 901 without being splashed and soiled by the three wafer positioners 1222. Vibrate chuck 936 to face. Chemicals in contact with the wafer positioner 1222 can splatter on the top surface of the wafer 901 and chemically etch the top surface, thereby damaging structures and devices formed on the wafer 901. . The back chemical nozzle 948 may be located between two positioners 1222 and may vibrate at an angle β to an angle β. Such a rear chemical nozzle 948 guides the rear chemical 948 off the center by moving the rear chemical at an angle γ to an angle γ, as shown in FIGS. 16A-16C. To cover the region of the wafer 901 beyond the angle β and angle −β.

웨이퍼 후방 화학물질용 노즐(948)에 의해 전달되는 화학물질은 웨이퍼(901)의 후방에 도달할 것이며, 클리닝 시간은 5 내지 100초의 범위, 바람직하게는 10초일 수 있다. 그러면, 클리닝 프로세스는 웨이퍼(901)의 후방의 1/3 각각에 대해 반복된다.The chemical delivered by the nozzle 948 for the wafer back chemical will reach the back of the wafer 901 and the cleaning time may range from 5 to 100 seconds, preferably 10 seconds. The cleaning process is then repeated for each third of the back of the wafer 901.

도 17a 내지 도 17c는 다른 예시적인 후방 웨이퍼 클리닝 프로세스를 도시한다. 이러한 후방 웨이퍼 크리닝 프로세스는, 척(936)이 연속적으로 회전하고 후방 화학물질용 노즐(948)이 포지셔너(1222)에 인도될 때 "오프"되고 포지셔너(1222) 사이에서 "온" 되도록 맥동치거나 또는 시간이 맞추어지는 것을 제외하고는, 도 16a 내지 도 16c를 참조하여 설명된 실시예와 유사하다. 도 16a 내지 도 16c와 유사하게, 후방 화학물질용 노즐(948)은 프로세스 동안 ±γ만큼 진동할 수 있다. 도 17b 및 도 17c에 도시된 바와 같이, 척(936)이 반시계 방향으로 회전할 때, 후방 화학물질용 노즐(948)은 가동중지되는 각도(a1)까지 웨이퍼에 액체를 인도한다. 액체는 다시 각도(a2)로 웨이퍼의 후방으로 인도된다.17A-17C illustrate another exemplary backside wafer cleaning process. This back wafer cleaning process may be pulsated such that the chuck 936 rotates continuously and the back chemical nozzle 948 is “off” and “on” between the positioners 1222 when directed to the positioners 1222. Or similar to the embodiment described with reference to FIGS. 16A-16C except that time is adjusted. Similar to FIGS. 16A-16C, the nozzle 948 for back chemical may oscillate by ± γ during the process. As shown in FIGS. 17B and 17C, when the chuck 936 rotates counterclockwise, the rear chemical nozzle 948 guides the liquid to the wafer up to an angle a 1 at which it stops. The liquid is again led to the back of the wafer at an angle a 2 .

다른 실례에서, 포지셔너(1222)와 접촉 상태의 웨이퍼(901)의 후방의 부분을 클리닝하기 위해, 모터(1208)는 웨이퍼(01)가 원래 위치로부터 이동될 정도로 충분한 정도의 회전 가속도를 가지는 회전 이동을 발생시킬 것이다. 따라서, 웨이퍼 후방 화학물질용 노즐(948)에 의해 전달되는 화학물질은 회전이동하기 전에 포지셔너(1222)와 접촉해 있었던 웨이퍼(901)의 후방의 부분에 도달할 수 있다. 웨이퍼(901)의 후방의 전체 표면을 클리닝한 후에, DI수 노즐(922)은 웨이퍼(901)의 후방 상에 화학물질을 린싱하도록 DI수의 스트림을 공급한다.In another example, to clean the portion of the back of the wafer 901 in contact with the positioner 1222, the motor 1208 has a rotational movement of sufficient rotational acceleration to allow the wafer 01 to be moved from its original position. Will cause Thus, the chemical delivered by the nozzle 948 for wafer back chemical may reach the portion of the back of the wafer 901 that was in contact with the positioner 1222 prior to rotational movement. After cleaning the entire surface behind the wafer 901, the DI water nozzle 922 supplies a stream of DI water to rinse the chemical on the back of the wafer 901.

웨이퍼(901)는 최종 클리닝 사이클을 통과할 수 있다. 척(936) 및 웨이퍼(901)가 약 30 rpm의 회전 속도로 회전함에 따라, DI수 노즐(922, 926)은 웨이퍼(901)의 후방 및 상부에 DI수의 스트림을 동시에 공급할 수 있다. 건조 웨이퍼(901)로부터 그리고 건조 웨이퍼(901)에 DI수를 제거하기 위해, 척 회전 속도는 2000 rpm ±1000 rpm까지 증가될 수 있다. 그러면, 질소 노즐(924, 928)은 웨이퍼(901)의 상부 및 후방으로 질소의 스트림을 공급하여, 웨이퍼(901)의 상부 및 후방으로부터 DI수 막을 제거할 수 있다.Wafer 901 may pass through a final cleaning cycle. As the chuck 936 and wafer 901 rotate at a rotational speed of about 30 rpm, the DI water nozzles 922 and 926 can simultaneously supply a stream of DI water to the back and top of the wafer 901. To remove DI water from and to the dry wafer 901, the chuck rotational speed can be increased to 2000 rpm ± 1000 rpm. Nitrogen nozzles 924 and 928 may then supply a stream of nitrogen to the top and back of wafer 901 to remove the DI water film from the top and back of wafer 901.

예시적인 장치 및 방법의 상술한 설명의 견지에서, 예시적인 클리닝 방법 및 순서는 다음과 같이 진행될 수 있다.In light of the foregoing description of exemplary apparatus and methods, an exemplary cleaning method and sequence may proceed as follows.

초기 클리닝:Initial cleaning:

a. 척을 돌려보내는 단계와,a. Returning the chuck,

b. 외부 플레이트(1302)를 개방시키는 단계와,b. Opening the outer plate 1302, and

c. 척(936) 상에 웨이퍼(901)를 위치시키는 단계와,c. Positioning the wafer 901 on the chuck 936,

d. 외부 플레이트(1302)를 폐쇄시키는 단계d. Closing the outer plate 1302

전방 클리닝:Front cleaning:

e. 10 내지 100 rpm의 속도, 바람직하게는 50 rpm의 속도로 척(936)을 회전시키는 단계와,e. Rotating the chuck 936 at a speed of 10 to 100 rpm, preferably at 50 rpm,

f. DI수 노즐(상부)(926)로부터 웨이퍼(901)의 전방으로 DI수를 전달하는 단계와,f. Delivering DI water from the DI water nozzle (top) 926 to the front of the wafer 901, and

g. DI수 노즐(상부)(926)으로부터의 DI수를 중단시킨 후, 1000 내지 2000rpm, 바람직하게는 2000 rpm까지 척 회전 속도를 증가시키는 단계와,g. After stopping DI water from the DI water nozzle (top) 926, increasing the chuck rotational speed to 1000-2000 rpm, preferably 2000 rpm,

h. 웨이퍼(901)의 상부면을 건조시키도록 질소 노즐(상부)(928)로부터 질소를 전달하는 단계와,h. Delivering nitrogen from the nitrogen nozzle (top) 928 to dry the top surface of the wafer 901,

i. 질소 스트림을 중단시키고 척 회전을 정지시키는 단계.i. Stopping the nitrogen stream and stopping the chuck rotation.

엣지 클리닝:Edge Cleaning:

j. 공기 튜브 실린더(1016)에 동력을 공급함으로써 정지 위치로부터 엣지 클리닝 위치로 엣지 클리닝 조립체(930)를 이동시키는 단계와,j. Moving the edge cleaning assembly 930 from the stop position to the edge cleaning position by powering the air tube cylinder 1016;

k. 100 내지 500 rpm, 바람직하게는 350 rpm의 회전 속도로 웨이퍼(901)를 회전시키고, 질소 노즐(1034)로부터 질소 튜브(1028)를 통해 질소를 전달하는 단계와,k. Rotating the wafer 901 at a rotational speed of 100 to 500 rpm, preferably 350 rpm, transferring nitrogen from the nitrogen nozzle 1034 through the nitrogen tube 1028, and

l. 액체 노즐(1036)로부터 산성 튜브(1026)를 통해 엣지 클리닝 화학물질을 전달하는 단계와,l. Delivering the edge cleaning chemical from the liquid nozzle 1036 through the acid tube 1026, and

m. 엣지 영역(1004) 상의 금속이 에칭되어 떨어져 나간 후, 엣지 클리닝 화학물질을 전달하는 것을 중단시키는 단계와,m. Stopping the delivery of edge cleaning chemistry after the metal on the edge region 1004 has been etched away;

n. 액체 노즐(1036)로부터 DI수 튜브(2006)를 통해 DI수를 전달하는 단계와,n. Delivering DI water from the liquid nozzle 1036 through the DI water tube 2006;

o. 엣지 영역(1004) 상의 화학물질을 린싱한 후에, DI수 스트림을 중단시키는 단계와,o. After rinsing the chemical on the edge region 1004, stopping the DI water stream,

p. 질소 노즐(1034)로부터 질소 튜브(1028)를 통해 질소를 전달하는 단계와,p. Delivering nitrogen from the nitrogen nozzle 1034 through the nitrogen tube 1028, and

q. 척 회전을 중단시키고 엣지 클리닝 조립체(930)를 정지 위치로 되돌려 이동시키는 단계.q. Stopping the chuck rotation and moving the edge cleaning assembly 930 back to the stop position.

후방 클리닝:Rear cleaning:

r. 후방 클리닝 위치 즉, 웨이퍼 후방 화학물질용 노즐(948)과 2 개의 인접 포지셔너(1222) 사이의 거리가 동일한 위치로 척(936)을 이동시킨다. 모터(1208)는 웨이퍼 후방 화학물질용 노즐(948) 둘레로 척(936)을 진동시키기 시작한다. 이러한 진동 각도는 45°±5°미만이어야 한다. 웨이퍼 후방 화학물질용 노즐(948)은 이후 웨이퍼(901)의 후방으로 화학물질을 전달한다.r. The chuck 936 is moved to a back cleaning position, that is, a distance between the wafer back chemical nozzle 948 and two adjacent positioners 1222 are equal. Motor 1208 begins to vibrate chuck 936 around nozzle 948 for wafer back chemical. This oscillation angle should be less than 45 ° ± 5 °. The nozzle 948 for wafer back chemical then delivers the chemical to the back of the wafer 901.

s. 웨이퍼(901)의 제 2 및 제 3 섹션을 위해 단계(r)를 반복한다. 대안으로, 웨이퍼(901)는 한 방향으로 연속해서 회전될 수 있으며, 후방 화학물질용 노즐(948)은 포지셔너(1222)와 접촉하지 않도록 맥동친다.s. Step r is repeated for the second and third sections of the wafer 901. Alternatively, the wafer 901 can be rotated continuously in one direction and the rear chemical nozzle 948 pulsates so as not to contact the positioner 1222.

시프트 턴 클리닝(shift turn cleaning):Shift turn cleaning:

t. 시프트 턴 동안 높은 가속도를 사용함으로써 제 위치로부터 웨이퍼(901)를 시프트시킨다.t. The wafer 901 is shifted out of position by using high acceleration during the shift turn.

u. 단계(s)를 반복한다.u. Repeat step (s).

v. 웨이퍼(901)의 제 2의 1/3에 대해 단계(s) 내지 단계(u)를 반복한다.v. Steps (s) through (u) are repeated for the second third of the wafer 901.

w. 웨이퍼(901)의 마지막 1/3에 대해 단계(s) 내지 단계(u)를 반복한다.w. Steps (s) through (u) are repeated for the last third of the wafer 901.

x. DI수 노즐(후방)(922)을 통해 웨이퍼(901)의 후방으로 그리고 DI수 노즐(상부)(926)을 통해 웨이퍼(901)의 전방으로 DI수를 전달하며, 웨이퍼를 약 50 rpm의 회전 속도로 회전시킨다.x. DI water is delivered to the back of the wafer 901 through DI water nozzle (rear) 922 and forward of the wafer 901 through DI water nozzle (top) 926, rotating the wafer at about 50 rpm. Rotate at speed

y. DI수 스트림을 전달하는 것을 중단한다. 1000 내지 3000 rpm, 바람직하게는 2000 rpm의 회전 속도로 척(936)을 회전시킨 후, 웨이퍼(901)의 전방 및 후방모두에 질소를 전달한다.y. Stop delivering DI water streams. After rotating the chuck 936 at a rotational speed of 1000 to 3000 rpm, preferably 2000 rpm, nitrogen is delivered to both the front and back of the wafer 901.

z. 질소의 스트림을 전달하는 것을 중단하고 척(936)의 회전을 중단시킨다. 실린더(1310)와 함께 외부 플레이트(1304)를 하강시킴으로써 클리닝 챔버 윈도우(904)를 개방시킨다. 이후, 엔드 이펙터(903)는 웨이퍼(901)를 집어 올리고 저장 포드(도시 안됨)까지 상기 웨이퍼를 이동시킨다.z. The delivery of the stream of nitrogen is stopped and the rotation of the chuck 936 is stopped. The cleaning chamber window 904 is opened by lowering the outer plate 1304 along with the cylinder 1310. End effector 903 then picks up wafer 901 and moves the wafer to a storage pod (not shown).

상술한 순서는 웨이퍼 클리닝을 위한 하나의 예시적인 방법을 제시하는 것이며 이에 한정되어 해석되어서는 안된다. 본 발명의 여러 양상에 따라 웨이퍼(901)를 클리닝하기 위한 다양한 대안의 방법들이 존재한다. 예컨대, 예시적인 제 2 방법은 클리닝 프로세스를 개시하도록 상술한 바와 같이 다음의 단계(a) 내지 단계(d)를 수행한 후, 엣지 클리닝을 위한 단계(j) 내지 단계(q)를 수행하고, DI수 및 질소 가스에 의해 전방을 클리닝 및 건조시키도록 단계(e) 내지 단계(i)를 실행함으로써 마무리한다.The above-described order presents one exemplary method for wafer cleaning and should not be construed as limited thereto. There are various alternative methods for cleaning the wafer 901 in accordance with various aspects of the present invention. For example, the second exemplary method performs the following steps (a) to (d) as described above to initiate the cleaning process, followed by steps (j) to (q) for edge cleaning, Finishing is carried out by carrying out steps (e) to (i) to clean and dry the front with DI water and nitrogen gas.

예시적인 다른 방법은 클리닝 프로세스를 개시하도록 상술한 바와 같은 단계(a) 내지 단계(d)를 실행하고, 엣지 클리닝을 위해 단계(j) 내지 단계(q)를 실행하며, 계속해서, 화학물질에 의해 후방을 클리닝하도록 단계(r) 및 단계(s)를 실행하고, DI수 및 질소 가스를 사용하여 전방을 클리닝 및 건조시키도록 단계(e) 내지 단계(i)를 실행하며, 그리고, DI수 및 질소 가스에 의해 후방을 클리닝 및 건조하기 위해 단계(t) 내지 단계(z)를 실행하는 것을 포함한다. 또한, 후방 클리닝 프로세스 동안, DI수는 웨이퍼의 상부에 공급될 수 있어서, 후방을 에칭하는 동안 사용되는 화학물질의 어떠한 것으로부터도 상부면을 보호한다. 따라서, 예시적인 장치 및 방법에 의해 반도체 웨이퍼를 클리닝하는 다양한 프로세스가 고려될 수 있음은 당업자라면 명확히 이해할 것이다.Another exemplary method performs steps (a) through (d) as described above to initiate a cleaning process, performs steps (j) through (q) for edge cleaning, and subsequently, Performing steps (r) and (s) to clean the rear by means, and performing steps (e) to (i) to clean and dry the front using DI water and nitrogen gas, and And performing steps (t) to (z) to clean and dry the rear with nitrogen gas. In addition, during the back cleaning process, DI water can be supplied to the top of the wafer, protecting the top surface from any of the chemicals used during the back etching. Thus, it will be apparent to those skilled in the art that various processes of cleaning semiconductor wafers may be considered by the exemplary apparatus and method.

특정 실시예, 실례 및 응용분야에 대해 웨이퍼를 클리닝하는 장치 및 방법을 기술하였지만, 본 발명으로부터 벗어나지 않고 다양한 변경과 변화가 이루어질 수 있음은 당업자라면 명확히 이해할 것이다.Although apparatus and methods for cleaning wafers have been described for specific embodiments, examples, and applications, it will be apparent to those skilled in the art that various changes and modifications may be made without departing from the invention.

Ⅳ. 프로세스 챔버Ⅳ. Process chamber

반도체 조립체의 다른 양상에서, 반도체 웨이퍼를 전해연마 및/또는 전기도금하기 위한 프로세싱 챔버를 포함한다. 예시적인 프로세싱 챔버는 전해연마 장치 및 전기도금 장치와 서로 교체가능하다.In another aspect of a semiconductor assembly, a processing chamber for electropolishing and / or electroplating a semiconductor wafer is included. The exemplary processing chamber is interchangeable with the electropolishing apparatus and the electroplating apparatus.

예시적인 하나의 실시예에서, 웨이퍼가 회전하는 동안, 프로세스 유체의 스트립이 웨이퍼의 주요 표면의 비교적 작은 부분으로 인도된다. 유체의 스트림을 인도하는 노즐 등은 예컨대 웨이퍼의 내경으로부터 외경으로 웨이퍼의 주요 표면과 평행한 선형 방향을 따라 병진운동한다. 웨이퍼 상에서의 금속층의 도금 또는 연마의 균일성을 증가시키기 위해, 유체의 입사 스트림에 대한 웨이퍼 표면의 일정한 선형 속도를 생성하기 위해 웨이퍼의 회전이 변화된다. 또한, 전해연마 또는 전기도금 프로세스 및 박막 프로파일을 결정하기 위한 다양한 예시적인 방법을 개시한다.In one exemplary embodiment, while the wafer is rotating, a strip of process fluid is directed to a relatively small portion of the major surface of the wafer. Nozzles or the like that lead the stream of fluid translate, for example, in a linear direction parallel to the major surface of the wafer from the inner diameter to the outer diameter of the wafer. To increase the uniformity of plating or polishing of the metal layer on the wafer, the rotation of the wafer is varied to produce a constant linear velocity of the wafer surface relative to the incident stream of fluid. In addition, various exemplary methods for determining electropolishing or electroplating processes and thin film profiles are disclosed.

도 18은 일 실시예에 따른 예시적인 프로세스 챔버 조립체의 분해도를 포함한다. 예시적인 프로세스 챔버 조립체는 동적 슈라우드(dynamic shroud; 1902), 자기 커플러(1804), 샤프트(1806), 샤프트를 장착하기 위한 브라켓(1808), 스플래쉬가드(splashguard; 1810), 튜브(1812), 챔버 트레이(chamber tray; 1814), 바닥 챔버(1816), 광 센서용 공급로(1818), 플러그(1820), 프로세스 챔버(1822), 매니폴드(1824), 노즐 플레이트(1826), 엔드 포인트 검출기(end point detector; 1828), 노즐 블록(1830), 측면 플레이트(1832), 챔버 윈도우(1834), 반달형 챔버(1836), 게이트 척(1838) 및 윈도우 실린더(1840)를 포함할 수 있다.18 includes an exploded view of an example process chamber assembly according to one embodiment. Exemplary process chamber assemblies include a dynamic shroud 1902, a magnetic coupler 1804, a shaft 1806, a bracket 1808 for mounting the shaft, a splashguard 1810, a tube 1812, a chamber (Chamber tray) 1814, bottom chamber 1816, supply path 1818 for light sensors, plug 1820, process chamber 1822, manifold 1824, nozzle plate 1826, endpoint detector ( end point detector 1828, nozzle block 1830, side plate 1832, chamber window 1834, half moon chamber 1836, gate chuck 1838, and window cylinder 1840.

예시적인 챔버는 전해연마 및/또는 전기도금을 위해 동일하게 잘 사용될 수 있지만, 대개 전해연마 프로세스와 관련하여 설명한다. 전기도금을 위해 본 발명을 사용하는 경우, 전해연마 프로세스에서는 노즐 블록(1830), 노즐 플레이트(1826), 매니폴드(1824) 및 동적 슈라우드(1802)가 사용될 수 있다. 대안으로, 이들 요소들은 동심원 전기도금 장치로 대체될 수 있다. 예시적인 동심원 전기도금 장치는 미국특허 제 6,395,152호(발명의 명칭: "반도체 장치 상의 금속 상호연결부를 전해연마하기 위한 방법 및 장치(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTION ON SEMICONDUCTOR DEVICES, 1999년 7월 2일자로 출원됨), 미국특허 제 6,440,295호(발명의 명칭: "반도체 장치 상의 금속 상호연결부를 전해연마하기 위한 방법 및 장치(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTION ON SEMICONDUCTOR DEVICES", 1999년 7월 2일자로 출원됨)에 개시되어 있으며, 이들 특허들은 본원에 참조하였다. 또한, 예시적인 전해연마 및 전기도금 프로세스는 국제특허출원 제 PCT/US02/36567호(발명의 명칭: "전도성 층을 전해연마하기 위한 방법 및 전해연마 조립체(ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS)", 2002년 11월 13일자로 출원됨), 미국특허출원 제 6,391,166호(발명의 명칭: "도금 장치 및 방법(PLATING APPARATUS AND METHOD)", 1999년 1월 15일자로 출원됨), 및 국제특허출원 제 PCT/US99/15506호(발명의 명칭: "반도체 장치 상의 금속 상호연결부를 전해연마하기 위한 방법 및 장치(METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTION ON SEMICONDUCTOR DEVICES)", 1999년 8월 7일자로 출원됨)에 개시되어 있으며, 이들 모두를 본 명세서에 참조하였다.Exemplary chambers may equally well be used for electropolishing and / or electroplating, but are generally described in connection with the electropolishing process. When using the present invention for electroplating, a nozzle block 1830, nozzle plate 1826, manifold 1824 and dynamic shroud 1802 can be used in the electropolishing process. Alternatively, these elements can be replaced with concentric electroplating devices. Exemplary concentric electroplating devices are described in US Pat. No. 6,395,152, entitled "Methods and Apparatus for Electrolytic Polishing Metal Interfaces on SEMICONDUCTOR DEVICES, July 2, 1999." US Patent No. 6,440,295, entitled "METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTION ON SEMICONDUCTOR DEVICES," dated July 2, 1999. These patents are incorporated herein by reference, and exemplary electropolishing and electroplating processes are also described in International Patent Application No. PCT / US02 / 36567 (Invention: “Electropolishing for Conductive Layers”). Method and electropolishing assembly (filed Nov. 13, 2002), US patent application 6,391,166 (name of the invention: "PLATING APPARATUS AND METHOD", filed Jan. 15, 1999), and International Patent Application No. PCT / US99 / 15506 (name of the invention: "Semiconductor" METHOD AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTION ON SEMICONDUCTOR DEVICES, filed Aug. 7, 1999, all of which are herein incorporated by reference. .

또한, 예시적인 엔드-포인트 검출기 및 방법은 미국특허 제 6,447,668호(발명의 명칭: "엔드-포인트 검출을 위한 방법 및 장치(METHODS AND APPARATUS FOR END-POINT DETECTION)", 2002.9.10일자로 출원됨)에 개시되어 있으며, 그 전체를 여기에 참조하였다.Exemplary end-point detectors and methods are also filed in US Pat. No. 6,447,668, entitled “METHODS AND APPARATUS FOR END-POINT DETECTION,” dated 2002.9.10. Is hereby incorporated by reference in its entirety.

도 19에 도시된 바와 같이, 프로세스 챔버 조리체 내에 포함될 수 있는 파워 드라이브 시스템은 x-축 플래그(1902), x-축 드라이버 조립체(1904), 커플링(1906), 모터(1908), z-축 장착용 브라켓(1910), 쎄타 드라이브 벨트 및 풀리(1912), 쎄타 y-축 반사형 센서(1914), x-축 센서(1916), 쎄타 장착부(1918), z-축 범용 볼 조인트(1920), z-드라이브 테이블 조립체(1922), z-모션 장착용 브라켓(1924), 쎄타 모터(1926), 쎄타 드라이브 풀리(1928), 척 조립체(1930), 리드 백 커버 조립체(1932), x-축 선형 베어링(1934), y-축 조절 나비형 나사(y-axis adjustment thumb-screw; 1936), z-축 플레이트(1938), 상부 덮개(1940), z-축 선형 베어링(1942), 샤프트(1944), x-축 자석(1946), 자기 단절 플레이트(magnetic disconnect plate; 1948), y-축 스테이지(1950), 자석(1952), 자석 장착용 브라켓(1954)을 포함한다.As shown in FIG. 19, a power drive system that can be included within a process chamber cook includes an x-axis flag 1902, an x-axis driver assembly 1904, a coupling 1906, a motor 1908, z- Axial mounting bracket (1910), theta drive belt and pulley (1912), theta y-axis reflective sensor (1914), x-axis sensor (1916), theta mount (1918), z-axis universal ball joint (1920) ), z-drive table assembly 1922, z-motion mounting bracket 1924, theta motor 1926, theta drive pulley 1928, chuck assembly 1930, lead back cover assembly 1932, x- Axial linear bearing (1934), y-axis adjustment thumb-screw (1936), z-axis plate (1938), top cover (1940), z-axis linear bearing (1942), shaft 1944, x-axis magnet 1946, magnetic disconnect plate 1948, y-axis stage 1950, magnet 1952, magnet mounting bracket 1954.

예컨대, 미국특허 제 6,248,222B1호(발명의 명칭: "반도체 작업편의 전해연마 및/또는 전기도금 동안 반도체 작업편을 유지하고 위치설정하는 방법 및 장치 (METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECE)", 1999년9월7일자로 출원됨), 미국특허출원 제 09/800,990호(발명의 명칭: "반도체 작업편의 전해연마 및/또는 전기도금 동안 반도체 작업편을 유지하고 위치설정하는 방법 및 장치 (METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECE)", 2001년3월7일자로 출원됨), 및 미국특허출원 제 09/856,855호(발명의 명칭: "반도체 작업편의 전해연마 및/또는 전기도금 동안 반도체 작업편을 유지하고 위치설정하는 방법 및 장치 (METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECE)", 2001년5월21일자로 출원됨)에는 예시적인 척 조립체가 개시되어 있으며, 이들 문헌 모두는 그 전체를 여기에 참조하였다.For example, US Pat. No. 6,248,222B1 (name of the invention: "Method and Apparatus for HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND) / OR ELECTROPLATING OF THE WORKPIECE), filed Sep. 7, 1999, US Patent Application Serial No. 09 / 800,990 (name of the invention: "Maintaining semiconductor workpieces during electropolishing and / or electroplating of semiconductor workpieces) METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECE, "filed March 7, 2001, and US Patent Application Serial No. 09 / 856,855 Title of the Invention: "Method and Apparatus for HOLDING AND POSITIONING SE for holding and positioning semiconductor workpiece during electropolishing and / or electroplating of semiconductor workpiece An example chuck assembly is disclosed in MICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECE, filed May 21, 2001, all of which are incorporated herein by reference in their entirety.

도 18에 도시된 바와 같이, 프로세스 챔버(1822)는 챔버 영역 내부에 프로세스 유체 또는 전해액을 포함하도록 스플래쉬가드(1810) 및 척 조립체(1930)와 함께 병진운동하는 동적 슈라우드(1802)를 포함할 수 있다. 공급로(1818)를 통해 광 센서 및 엔드 포인트 검출기(1828), 또는 바닥 챔버(1816) 또는 챔버 트레이(1814) 내의 누수를 검출하기 위한 센서와 같은 다른 부품들을 위한 광 센서 케이블이 설치될 수 있다. 추가의 공급로를 위해 추가의 플러그(1820)가 사용될 수 있다.As shown in FIG. 18, process chamber 1822 may include dynamic shroud 1802 that translates with splashguard 1810 and chuck assembly 1930 to include process fluid or electrolyte within the chamber region. have. An optical sensor cable may be installed for the optical sensor and the endpoint detector 1828, or other components such as sensors for detecting leaks in the bottom chamber 1816 or chamber tray 1814 via the supply path 1818. . Additional plugs 1820 may be used for additional feed paths.

도 18 및 도 19의 예시적인 장치는 x-축 드라이브 자석 장착 플레이트(1946)에 연결하기 위한 자석(1952)을 포함한다. 척 조립체(1930)는 x-축 선형 베어링(1934)을 통해 샤프트(1944) 상에서 미끄러짐으로써 x-방향을 따라 이동할 수 있다. 예컨대, 유지보수하는 동안 또는 프로세싱 장치를 교환하기 위해 예시적인 장치가 작동하지 않는 경우, 프로세스 드라이브 시스템은 프로세스 챔버 조립체와 도킹(docking)을 풀 수 있다. 모터(1908)는 x-축 드라이브 조립체(1904) 내의 내부 나사를 반시계 방향으로 회전시켜서 x-방향을 따라 전방으로 이동시킬 것이다. 동일하거나 또는 새로운 프로세스 드라이브 조립체는 동일한 방식으로 프로세스 챔버 조립체와 도킹할 수 있다. 하나의 실례는 안전 대책을 포함하는데, 이러한 대책은, 프로세스 드라이브 시스템과 챔버 사이에 대상물이 있다면, 또는 그 무엇이 x-축 드라이브 조립체(1904)가 전방 또는 후방으로 이동하는 것을 막는다면, 자석(1952, 1946)은 x-축 단절 플레이트(1948)로부터 해제될 것이다. x-축 드라이브(1904) 및 모터(1908)는 척 조립체 및 상부 덮개를 추가로 이동시킬 수 없을 것이며, 그 지점에서, x-축 센서(1916)는 프로세스 드라이브 시스템의 정지로부터 x-축의 해제를 인식할 것이며, 모터(1908)는 가동중지될 것이다.18 and 19 include a magnet 1952 for connecting to the x-axis drive magnet mounting plate 1946. The chuck assembly 1930 can move along the x-direction by sliding on the shaft 1944 through the x-axis linear bearing 1934. For example, the process drive system can undocking with the process chamber assembly if the example device does not work during maintenance or to change the processing device. The motor 1908 will rotate the internal screw in the x-axis drive assembly 1904 counterclockwise to move it forward along the x-direction. The same or new process drive assembly may dock with the process chamber assembly in the same manner. One example includes a safety countermeasure, which includes a magnet 1952 if there is an object between the process drive system and the chamber, or if something prevents the x-axis drive assembly 1904 from moving forward or backward. 1946 will be released from the x-axis disconnect plate 1948. The x-axis drive 1904 and motor 1908 will not be able to move the chuck assembly and top cover further, at which point the x-axis sensor 1916 prevents the release of the x-axis from the stop of the process drive system. Will be recognized, and the motor 1908 will be shut down.

예시적인 장치의 설치 또는 주기적인 유지보수 동안, y-축 조절 나비형 나사(1936)는 y-방향을 따라 동적 슈라우드(1802) 및 노즐 플레이트(1826)에 대한 척 조립체(1930)의 위치를 조절할 수 있다.During installation or periodic maintenance of the exemplary device, the y-axis adjusting thumbscrew 1936 adjusts the position of the chuck assembly 1930 relative to the dynamic shroud 1802 and the nozzle plate 1826 along the y-direction. Can be.

도 18 및 도 19를 참조하면, 예시적인 프로세스 챔버가 프로세스 응용분야에사용된는 경우, 프로세스 드라이브 시스템은 프로세스 드라이브 시스템 상의 자석(1952)을 프로세스 챔버 조립체 상의 자기 커플러(1804)에 연결시킴으로써 프로세스 챔버 조립체 내에 도킹될 것이다. 윈도우 실린더(1840)는 반달형 챔버(1836)로부터 게이트 척(1838)을 상승시켜서, 챔버 윈도우(1834) 내에 개구를 생성시킨다. 로봇(도 1 참조)은 예비-정렬기(도 1 참조)로부터 챔버 윈도우(1834)를 통해 웨이퍼(1801)를 이송시킬 수 있다. 웨이퍼(1801)는 전해연마 및/또는 전기도금 프로세스를 위해 척 조립체(1930) 안으로 로딩된다.18 and 19, when an exemplary process chamber is used in a process application, the process drive system connects the magnet 1952 on the process drive system to a magnetic coupler 1804 on the process chamber assembly. Will be docked within. The window cylinder 1840 raises the gate chuck 1838 from the half moon chamber 1836, creating an opening in the chamber window 1834. The robot (see FIG. 1) can transfer the wafer 1801 from the pre-aligner (see FIG. 1) through the chamber window 1834. Wafer 1801 is loaded into chuck assembly 1930 for electropolishing and / or electroplating processes.

로딩 또는 홈 위치로부터 전해연마 또는 전기도금을 위한 위치로 척 조립체(1930)를 이동시키기 위해, z-드라이브 테이블 조립체(1922) 내의 모터는 척 조립체(1930)와 노즐 블록(1830)의 상부 사이의 갭이 대략 0.5 mm 내지 10 mm의 범위, 바람직하게는 5 mm에 있을 때까지 z-축 선형 베어링(1942)의 상부로부터 z-축 플레이트(1938)를 하강시키도록 내부 샤프트 조립체를 회전시킨다. 대안으로, 예시적인 프로세스 챔버가 전기도금을 위해 사용된다면, z-드라이브 테이블 조립체(1922) 내의 모터는 척 조립체(1930) 상의 웨이퍼(1801)와 동심원 장치의 상부 사이의 갭이 대략 0.5 mm 내지 20 mm의 범위, 바람직하게는 5 mm일 때까지 z-축 선형 베어링(1942)의 상부로부터 z-축 플레이트(1938)를 하강시킬 수 있다. 웨이퍼(1801) 상에 제 1 금속층을 도금한 후, z-축 플레이트(1938)는 추가의 도금을 위해 웨이퍼(1801)를 위한 프로세스 방법에 따라 점진적으로 위로 이동할 수 있다.To move the chuck assembly 1930 from the loading or home position to a position for electropolishing or electroplating, a motor in the z-drive table assembly 1922 is placed between the chuck assembly 1930 and the top of the nozzle block 1830. The inner shaft assembly is rotated to lower the z-axis plate 1938 from the top of the z-axis linear bearing 1942 until the gap is in the range of approximately 0.5 mm to 10 mm, preferably 5 mm. Alternatively, if the exemplary process chamber is used for electroplating, the motor in z-drive table assembly 1922 may have a gap between the wafer 1801 on the chuck assembly 1930 and the top of the concentric apparatus of approximately 0.5 mm to 20 It is possible to lower the z-axis plate 1938 from the top of the z-axis linear bearing 1942 until it is in the range of mm, preferably 5 mm. After plating the first metal layer on the wafer 1801, the z-axis plate 1938 can gradually move up according to the process method for the wafer 1801 for further plating.

웨이퍼(1801)를 연마하기 위해, 예시적인 프로세스 챔버는 웨이퍼(1801) 상의 상이한 위치들에 대해 상이한 전류 밀도로 전류를 인가함으로써 도금된 구리 웨이퍼(1801)로부터 균일하고 점진적으로 구리를 제거한다. 프로세스 액체의 유동 및 전류를 위한 방법은 특별한 응용 분야에 따라 상기 웨이퍼의 프로파일과 다른 사용자-정의 요구조건에 근거할 것이다. 사용자-정의 요구조건은 대량 제거를 위한 흐름(run)의 회수(number), 보다 크거나 또는 보다 작은 노즐의 사용, 또는 웨이퍼 상에 유지되는 구리층의 두께를 포함할 수 있다. 통상적으로, 웨이퍼 측정 계측 도구는 웨이퍼의 표본 상에 도금되는 구리의 두께 프로파일을 측정한다. 측정값들은 웨이퍼 상의 주어진 설정점에서의 연마 프로세스에 사용되는 전류비를 포함할 수 있는 전류비 테이블을 생성시키는데 도움을 줄 것이다. 데이타 및 생성된 전류비 테이블은 금속막 두께 프로파일을 생성하고, 이러한 프로파일은 웨이퍼의 프로파일된 두께, 전류 밀도 및 연마 프로세스 동안의 유량을 공식화하도록 사용자-정의 요구조건에 의해 추가로 변경될 수 있다.To polish the wafer 1801, an exemplary process chamber removes copper uniformly and gradually from the plated copper wafer 1801 by applying current at different current densities for different locations on the wafer 1801. Methods for flow and current of process liquids will be based on the wafer profile and other user-defined requirements, depending on the particular application. User-defined requirements may include the number of runs for mass removal, the use of larger or smaller nozzles, or the thickness of the copper layer retained on the wafer. Typically, a wafer measurement metrology tool measures the thickness profile of copper plated on a specimen of wafer. The measurements will help create a current ratio table that can include the current ratio used for the polishing process at a given set point on the wafer. The data and generated current ratio table generate a metal film thickness profile, which profile can be further modified by user-defined requirements to formulate the profiled thickness of the wafer, current density, and flow rate during the polishing process.

웨이퍼(1801)에 인가되는 전류 밀도는 제거의 유형에 따라 변화할 수 있다. 예컨대, 웨이퍼(1801) 상의 두꺼운 금속막을 제거하기 위해, 대개 보다 높은 전류를 사용할 것이다. 얇은 금속막을 제거하기 위해, 대개 보다 작은 전류가 사용되어, 보다 제어되고 정밀한 제어 프로세스를 가능하게 할 것이다.The current density applied to the wafer 1801 can vary depending on the type of removal. For example, to remove the thick metal film on the wafer 1801, a higher current will usually be used. In order to remove the thin metal film, smaller currents will usually be used, allowing for a more controlled and precise control process.

아래에, 비교적 두꺼운 금속층을 포함하는 웨이퍼를 전해연마하기 위한 예시적인 프로세스 또는 방법을 설명하고자 한다. 예시적인 방법은 대체로 4 개 이상의 프로세싱 단계를 수반한다. 첫째로, 예컨대 구리와 같은 금속의 두꺼운 층의 커다란 부분을 제거하는 단계를 실행한다. 둘째로, 엔드 포인트 검출기(1828)는 잔존하는 구리층의 반사율을 측정하여, 웨이퍼(1801) 상의 주어진 위치에서 추가의연마를 위해 설정점을 결정한다. 이러한 프로세스는 반사율 판독값에 근거하여 막 두께 프로파일을 재계산한다. 세째로, 이 프로세스는 새로운 금속 막 두께 프로파일에 따라 비교적 두꺼운 층의 구리를 제거한다. 네째로, 엔드 포인트 검출기(1828)는 웨이퍼(1801)가 원하는 두께 및/또는 프로파일로 연마되었는지를 결정하도록 구리의 반사율을 측정한다. 제 3 및 제 4 프로세스는 웨이퍼(1801)가 원하는 두께 및/또는 프로파일로 연마될 때까지 반복될 수 있다.In the following, an exemplary process or method for electropolishing a wafer comprising a relatively thick metal layer is described. Exemplary methods generally involve four or more processing steps. First, a step of removing a large portion of a thick layer of metal, such as copper, is carried out. Secondly, the endpoint detector 1828 measures the reflectance of the remaining copper layer to determine the set point for further polishing at a given location on the wafer 1801. This process recalculates the film thickness profile based on the reflectance reading. Third, this process removes a relatively thick layer of copper according to the new metal film thickness profile. Fourth, endpoint detector 1828 measures the reflectivity of copper to determine if wafer 1801 has been polished to the desired thickness and / or profile. The third and fourth processes may be repeated until wafer 1801 is polished to the desired thickness and / or profile.

그러나, 예컨대 초기 제거 프로세스에서 웨이퍼(1801)로부터 너무 많은 구리 도금이 제거되었다고 엔드 포인트 검출기(1828)가 결정한다면, 본 발명은 웨이퍼의 표면 상의 일부 영역을 구리에 의해 재도금하는 전기도금 프로세스를 포함할 수도 있음을 이해해야 한다. 이러한 전기도금 프로세스는 CuSO4+H4SO4+H2O 등과 같은 적절한 전해액에 의해 노즐 블록(1830) 내의 노즐에 대한 전압을 역전시키는 방법을 포함할 수 있다. 예시적인 전기도금 장치 및 방법이 미국특허 제 6,391,166호에 개시되어 있다.However, if the endpoint detector 1828 determines that too much copper plating has been removed from the wafer 1801, for example in an initial removal process, the present invention includes an electroplating process that replats some areas on the surface of the wafer with copper. Understand that you may. Such electroplating processes may include a method of reversing the voltage for the nozzles in the nozzle block 1830 with a suitable electrolyte, such as CuSO 4 + H 4 SO 4 + H 2 O and the like. Exemplary electroplating apparatus and methods are disclosed in US Pat. No. 6,391,166.

예시적인 프로세스 방법Example Process Method

단계 1. 웨이퍼(1801) 상의 구리의 층을 제거하기 위해, 척 조립체(1930)가 x-방향을 따라 이동할 때, 모터(1926)는 일정한 선형 속도로 척 조립체(1930)를 회전시킨다. 노즐 블록(1830) 내의 노즐은 웨이퍼(1801)에 프로세스 액체를 일정한 유량으로 인도할 수 있다. 쎄타 모터(1926)의 회전 속도는 척 조립체(1930)를 회전시키는 선형 이동 거리와 전류 밀도와 관련될 수 있다. 웨이퍼(1801)에 인가되는 전류비는 또한 사용자-정의 요구조건과 금속막 두께 프로파일에 근거할 수 있다. 예시적인 방법은 척 조립체(1930)를 회전시키는 선형 이동에 대한 각각의 데이타 포인트에서, 새로운 전류 밀도와 새로운 선형 속도 사이에서 계속해서 외삽법(extrapolate)을 실행할 수 있다. 이러한 방법은 새로운 전류비와 선형 속도를 사용하여 추가로 재계산될 수 있다. 프로세스 드라이브 시스템은 척 조립체(1930)를 x-방향을 따라 시작 위치로 되돌린다.Step 1. When the chuck assembly 1930 moves along the x-direction to remove the layer of copper on the wafer 1801, the motor 1926 rotates the chuck assembly 1930 at a constant linear speed. The nozzles in the nozzle block 1830 can direct the process liquid to the wafer 1801 at a constant flow rate. The rotational speed of theta motor 1926 can be related to the linear travel distance and current density of rotating the chuck assembly 1930. The current ratio applied to the wafer 1801 may also be based on user-defined requirements and metal film thickness profiles. The example method can continue to extrapolate between the new current density and the new linear velocity at each data point for the linear movement of rotating the chuck assembly 1930. This method can be further recalculated using the new current ratio and linear velocity. The process drive system returns the chuck assembly 1930 to the starting position along the x-direction.

단계 2. 엔드 포인트 검출기(1828)는, 척 조립체가 x-방향을 따라 전후로 이동하면서 쎄타 모터(1926)가 일정한 선형 속도에서 다시 척 조립체(1930)를 회전시킬 때, 웨이퍼(1801)의 구리 도금 표면의 반사율을 측정한다. 본 실례는 사용자-정의 간격으로 웨이퍼(1801)의 반사율과 척 조립체의 대응하는 선형 거리를 기록한다. 본 실례는 금속막 두께 프로파일의 부분으로 새로운 데이타에 대한 외삽법을 실행한다.Step 2. The endpoint detector 1828 is copper plated of the wafer 1801 as the theta motor 1926 rotates the chuck assembly 1930 again at a constant linear speed while the chuck assembly moves back and forth along the x-direction. Measure the reflectance of the surface. This example records the reflectivity of the wafer 1801 and the corresponding linear distance of the chuck assembly at user-defined intervals. This example performs extrapolation of new data as part of the metal film thickness profile.

단계 3. 선형 거리의 주어진 웨이퍼 위치에서 웨이퍼(1801)에 대한 엔드 포인트 검출기(1828)의 반사율에 근거해서 전류 흐름이 조절될 것이라는 점을 제외하고는 단계 1을 반복한다.Step 3. Repeat Step 1 except that the current flow will be adjusted based on the reflectance of the endpoint detector 1828 with respect to the wafer 1801 at a given wafer location of linear distance.

단계 4. 단계 2를 반복한다. 엔드 포인트 검출기(1828)로부터의 새로운 반사율 측정값이 사전설정값보다 크다면, 단계 3을 반복한다.Step 4. Repeat step 2. If the new reflectance measurement from the endpoint detector 1828 is greater than the preset value, repeat step 3.

예시적인 연마 프로세스 동안, 척 조립체(1930)는 다음의 3가지 모드에서 회전할 수 있다.During the exemplary polishing process, the chuck assembly 1930 can rotate in the following three modes.

1) 일정한 선형 속도 모드:1) Constant linear speed mode:

(1) (One)

여기서, R은 노즐과 웨이퍼 중심 사이의 수평 거리이다.Where R is the horizontal distance between the nozzle and the wafer center.

C1은 일정하며, 그리고C 1 is constant, and

는 회전 속도이다. Is the rotational speed.

실제 제어에서, R=0은 무한 회전 속도를 부여하며; 따라서, 식(1)은 다음과 같이 표현될 수 있다.In actual control, R = 0 gives an infinite rotational speed; Therefore, equation (1) can be expressed as follows.

(2) (2)

여기서 C2는 특별한 장치 및 응용분야에 따른 일정한 세트이다.Where C 2 is a constant set depending on the particular device and application.

2) 일정한 회전 속도 모드:2) Constant Rotational Speed Mode:

(3) (3)

여기서 C3는 프로세스 방법에 의한 일정한 세트(set)이다.Where C 3 is a constant set by the process method.

3) 일정한 원심력 모드:3) Constant centrifugal force mode:

(4) (4)

여기서, V는 선형 속도이며, R은 노즐과 웨이퍼 중심 사이의 수평 거리이고, C4는 특별한 장치 및 응용 분야에 따른 일정한 세트이다.Where V is the linear velocity, R is the horizontal distance between the nozzle and the wafer center, and C 4 is a constant set depending on the particular apparatus and application.

식(4)는를 사용하여 다음과 같이 변환될 수 있다.Equation (4) is Can be converted to

(5) (5)

다시, R=0은 무한 회전 속도,를 부여하고, 실제로, 식(5)는 다음과 같이 변환될 수 있다.Again, R = 0 is infinite rotational speed, In fact, equation (5) can be converted as follows.

(6) (6)

여기서 C5는 특별한 장치 및 응용분야에 따른 일정한 세트이다.Where C 5 is a constant set depending on the particular device and application.

수평 방향 또는 x-방향 이동은 다음과 같이 변환될 수 있다.The horizontal or x-direction movement can be converted as follows.

(7) (7)

여기서,는 척 조립체의 x-방향으로의 회전속도이고, R=0은 무한값를 부여하고, 식(7)은 다음과 같이 변환될 수 있다.here, Is the speed of rotation of the chuck assembly in the x-direction and R = 0 is infinite Equation (7) can be converted as follows.

(8) (8)

여기서 C7은 특별한 장치 및 응용분야에 따른 일정한 세트이다.Where C 7 is a constant set depending on the particular device and application.

도 18 및 도 19는 척 조립체(1930)가 x-방향을 따라 이동하는 프로세스 드라이브 조립체를 도시하지만, 프로세스 동안 노즐 플레이트(1826), 또는 척 조립체(1930) 및 노즐 플레이트(1826) 모두는 특별한 응용 분야에 따라 x-방향을 따라 이동할 수 있다.18 and 19 show a process drive assembly in which the chuck assembly 1930 moves along the x-direction, while the nozzle plate 1826, or both the chuck assembly 1930 and the nozzle plate 1826 during a process, is a particular application. Depending on the field, it can move along the x-direction.

도 20은 예시적인 프로세스 챔버 조립체 내에 포함될 수 있는 예시적인 노즐(2054)을 도시한다. 예시적인 노즐(2054)은 노즐(2054)에 기계적으로 연결되거나 또는 부착될 수 있는 향상 에너지 유닛(2080)을 포함한다. 이러한 향상 에너지 유닛(2080)은 금속막(2004)의 표면에서의 전해액(2081)의 교반을 향상시켜서, 보다 높은 연마율과, 보다 향상된 표면 마감질과 그리고 우수한 품질을 제공한다.20 illustrates an example nozzle 2054 that may be included in an example process chamber assembly. Exemplary nozzle 2054 includes an enhancement energy unit 2080 that can be mechanically connected or attached to nozzle 2054. This enhanced energy unit 2080 improves the agitation of the electrolyte solution 2081 on the surface of the metal film 2004, providing higher polishing rate, improved surface finish, and superior quality.

하나의 예시적인 노즐(2054)에 있어서, 에너지 향상 유닛(208)은 초음파 또는 마그나소닉 변환기를 포함한다. 전해액(2081)은 노즐(2054)의 측면 입구(5200)로부터 입력될 수 있다. 초음파 변환기의 주파수는 전해액을 교반하도록 15kHz 내지 100 Mega Hz 범위일 수 있다. 초음파 변환기는 티탄산바륨(LiTaO3), 티탄산납(lead titanate), 지르콘산납(lead zirconate) 등과 같은 강유전성 세라믹으로 이루어질 수 있다. 초음파 변환기의 파워는 0.01 내지 1 W/㎠의 범위에 있을 수 있다.In one exemplary nozzle 2054, the energy enhancement unit 208 includes an ultrasonic or magnetic transducer. The electrolyte solution 2081 may be input from the side inlet 5200 of the nozzle 2054. The frequency of the ultrasonic transducer may range from 15 kHz to 100 Mega Hz to stir the electrolyte. The ultrasonic transducer may be made of ferroelectric ceramics such as barium titanate (LiTaO 3 ), lead titanate, lead zirconate, and the like. The power of the ultrasonic transducer may be in the range of 0.01 to 1 W / cm 2.

다른 실례에서, 에너지 향상 유닛(2080)은 레이져를 포함할 수 있다. 상술한 바와 유사한 목적을 위해, 전해연마 프로세스 동안 금속 표면 상에 레이져가 조사될 수 있다. 이러한 레이져는 예컨대 루비 레이져, Nd-글래스 레이져, 또는 Nd:YAG(이트륨 알루니늄 가닛, Y3Al5O12) 레이져와 같은 고상 레이져(solid state laser), He-Ne 레이져, CO2 레이져, HF 레이져 등과 같은 가스 레이져일 수 있다. 이러한 레이져의 평균 파워는 연속적인 모드를 위해 1 내지 100 watt/㎠의 범위에 있을 수 있다. 다른 실례에서, 레이져는 펄스 모드에서 작동될 수 있다. 펄스 모드 레이져 파워는 당업자에 의해 이해될 수 있듯이 평균 모드 파워보다 상당히 높을 수 있다.In another example, energy enhancement unit 2080 may comprise a laser. For similar purposes as described above, the laser can be irradiated onto the metal surface during the electropolishing process. Such lasers are for example solid state lasers such as ruby lasers, Nd-glass lasers, or Nd: YAG (yttrium aluminium garnets, Y 3 Al 5 O 12 ) lasers, He-Ne lasers, CO2 lasers, HF lasers. Gas laser such as laser or the like. The average power of such lasers can be in the range of 1 to 100 watts / cm 2 for continuous mode. In another example, the laser can be operated in pulse mode. The pulsed mode laser power can be significantly higher than the average mode power, as will be appreciated by those skilled in the art.

이러한 레이져는 웨이퍼(1004) 상의 금속막의 막 두께를 검출할 수도 있다. 본 실례에서, 금속막에 인도되는 레이져는 금속막 상의 초음파를 자극시킨다. 금속막(2004)의 두께는 전해연마 프로세스 동안 검출된 초음파를 통해 측정될 수 있다. 금속막(2004)의 두께는 전류, 반경방향으로의 노즐 속도 등을 변화시킴으로써 연마율을 제어하는데 사용될 수 있다.Such a laser may detect the film thickness of the metal film on the wafer 1004. In this example, the laser guided to the metal film stimulates the ultrasonic waves on the metal film. The thickness of the metal film 2004 may be measured via ultrasonic waves detected during the electropolishing process. The thickness of the metal film 2004 can be used to control the polishing rate by changing the current, the nozzle speed in the radial direction, and the like.

다른 실례에서, 에너지 향상 에너지 유닛(2080)은 연마 프로세스 동안 금속막(2004)을 어닐링(annealing)하기 위한 적외선 공급원을 포함한다. 적외선 공급원은 연마 프로세스 동안 금속막의 표면 온도를 제어하기 위한 추가의 옵션을 제공할 수 있다. 적외선 공급원의 파워는 1 내지 100 w/㎠의 범위에 있을 수 있다. 적외선 공급원은 또한 연마 프로세스 동안 금속막을 어닐링하는데 사용될 수 있다. 구리 상호연결 전자-이동 성능 및 저항율을 결정하기 위해 입자 크기 및 구성은 상당히 중요하다. 온도는 금속층의 입자 크기 및 구성을 결정하는 인자(factor)이기 때문에, 연마 프로세스 동안 금속막의 표면 온도를 검출하는데 적외선 센서가 사용될 수 있다.In another example, energy enhancement energy unit 2080 includes an infrared source for annealing the metal film 2004 during the polishing process. Infrared sources may provide additional options for controlling the surface temperature of the metal film during the polishing process. The power of the infrared source may be in the range of 1 to 100 w / cm 2. Infrared sources can also be used to anneal the metal film during the polishing process. Particle size and configuration are of great importance for determining copper interconnect electron-transfer performance and resistivity. Since temperature is a factor that determines the particle size and composition of the metal layer, infrared sensors can be used to detect the surface temperature of the metal film during the polishing process.

적외선 센서는 또한 금속막(2004)의 온도를 결정하는데 사용될 수 있다. 온도를 모니터링함으로써, 적외선 공급원 파워를 변경시키고 전류 밀도를 변화시켜서 연마 프로세스 동안 온도를 조절할 수 있다.The infrared sensor can also be used to determine the temperature of the metal film 2004. By monitoring the temperature, it is possible to adjust the temperature during the polishing process by changing the infrared source power and changing the current density.

다른 실례에서, 에너지 향상 에너지 유닛(2080)은 연마 프로세스 동안 금속막(2004) 상의 연마 흐름의 초점을 맞추도록 자기장을 포함할 수 있다. 연마 흐름의 초점을 맞춤으로써, 비교적 커다란 직경의 노즐을 위해 점차적으로 중요해 지는 노즐의 연마율 프로파일의 증가된 제어가 가능하다. 자기장은 전해액 흐름의 방향 즉, 금속막 표면에 대해 수직 방향으로 발생될 수 있다. 자석 및 전기 자석, 수퍼컨덕터 코일 드라이빙 자석 등은 자기장을 생성시키고 초점을 맞추기 위해 사용될 수도 있다.In another example, energy enhancement energy unit 2080 may include a magnetic field to focus the polishing flow on metal film 2004 during the polishing process. By focusing the polishing flow, it is possible to increase control of the polishing rate profile of the nozzle, which becomes increasingly important for relatively large diameter nozzles. The magnetic field can be generated in the direction of the electrolyte flow, ie perpendicular to the metal film surface. Magnets and electric magnets, superconductor coil driving magnets, etc. may be used to generate and focus the magnetic field.

대체로 상술하였듯이 전해연마 프로세스의 성능을 향상시키기 위해, 자외선, X-래이, 마이크로파 공급원 등과 같은 다른 에너지 공급원이 사용될 수 있음을 이해해야 한다.As generally described above, it should be understood that other energy sources may be used, such as ultraviolet, X-ray, microwave sources, etc., to improve the performance of the electropolishing process.

예시적인 챔버 모듈 및 프로세스를 특정 실시예들, 실례 및 응용분야에 대해 설명하였지만, 본 발명의 범위를 벗어나지 않고 다양한 개조와 변경이 가능함을 당업자는 이해할 것이다.Although exemplary chamber modules and processes have been described with respect to specific embodiments, examples, and applications, those skilled in the art will understand that various modifications and changes can be made without departing from the scope of the present invention.

Ⅴ. 전기도금 장치 및 프로세스Ⅴ. Electroplating Apparatus and Process

반도체 조립체의 다른 양상에서, 반도체 웨이퍼를 전기도금하기 위한 전기도금 장치 및 방법이 포함될 수 있다. 도금 장치 및 프로세스에서, 균일한 두께의 금속막을 도금하기 위해 웨이퍼의 표면 위로 프로세스 유체가 균일하게 분포되는 것이 대체로 바람직하다. 하나의 예시적인 실시예에서, 전해액의 목하의 스트림을 방해하고, 샤우어 헤드로부터 출현하기 전에 샤우어 헤드의 채널을 통해 보다 균일하게 프로세스 유체를 분포시키는 필터 블록을 포함하는 도금 장치용 샤우어 헤드가 개시된다. 보다 균일하게 채널을 통해 유체를 분포시킴으로써, 샤우어 헤드 조립체의 각각의 오리피스로부터 동일한 유량 또는 거의 동일한 유량의 전해액이 되게 하여, 전기도금 프로세스의 균일성을 증가시킨다.In another aspect of a semiconductor assembly, an electroplating apparatus and method for electroplating a semiconductor wafer can be included. In plating apparatus and processes, it is generally desirable to distribute the process fluid evenly over the surface of the wafer to plate a metal film of uniform thickness. In one exemplary embodiment, a shower head for a plating apparatus comprising a filter block that obstructs the underlying stream of electrolyte and distributes the process fluid more evenly through the channels of the shower head before emergence from the shower head. Is disclosed. By more evenly distributing the fluid through the channels, the same or approximately the same flow rate of electrolyte from each orifice of the shower head assembly increases, thereby increasing the uniformity of the electroplating process.

도 21은 반도체 웨이퍼(2102)를 도금하기 위한 예시적인 전기도금 장치의 분해도이다. 전기도금 장치는 반달형 챔버(2104), 고정 슈라우드(2106), 도금 샤우어 헤드 조립체(2108), 배출구(2110), 액체 입구(2112), 전해액 관통 피팅(2114), 액체 관통 피팅(2116), 챔버 트레이(2118), 바닥 챔버 윈도우(2120), 바닥 챔버(2122), 프로세스 챔버(2124), 챔버 윈도우(2126), 상부 덮개 조립체(2130), 액체 입구 배관(2132), 전극 케이블(2134), 및 샤프트(2136)를 포함할 수 있다. 상부 덮개 조립체(2130)는 상부 "프로세스 챔버" 하에서 상술된 예시적인 상부 덮개 조립체와 기능적으로 유사할 수 있다. 고정 슈라우드(2106)는 예컨대, 전기도금 및 회전 건조 프로세스 동안 챔버의 외부로 전해액이 튀기는 것을 방지하도록 웨이퍼 척(도시 안됨)을 덮는다.21 is an exploded view of an exemplary electroplating apparatus for plating semiconductor wafer 2102. The electroplating apparatus includes a half moon chamber 2104, a fixed shroud 2106, a plating shroud head assembly 2108, an outlet 2110, a liquid inlet 2112, an electrolyte through fitting 2114, a liquid through fitting 2116, Chamber tray 2118, bottom chamber window 2120, bottom chamber 2122, process chamber 2124, chamber window 2126, top cover assembly 2130, liquid inlet tubing 2132, electrode cable 2134 , And shaft 2136. Top cover assembly 2130 may be functionally similar to the example top cover assembly described above under the upper “process chamber”. The fixed shroud 2106 covers a wafer chuck (not shown), for example, to prevent electrolyte from splashing out of the chamber during the electroplating and rotary drying processes.

도 21에 도시된 바와 같이, 웨이퍼(2102)는 반달형 챔버(2104)를 통해 상부 덮개 조립체(2130)의 웨이퍼 척까지 전기도금 장치 안으로 로딩된다. 웨이퍼(2102) 상에 구리를 도금하기 위해, 상부 덮개 조립체(2130)는 웨이퍼(2102)를 하강시키고, 도금 샤우어 헤드 조립체(2108)의 상부 위에 웨이퍼(2102)를 위치시킨다. 하나의 예시적인 도금 프로세스에서, 웨이퍼(2102)와 도금 샤우어 헤드 조립체(2108) 사이의 갭이 약 0.1 mm 내지 약 10 mm의 범위에 있거나, 바람직하게는 약 2 mm 내에 있는 동안 제 1 금속층 부분 증착이 실행된다. 상부 덮개 조립체(2130)는 추가로 2 mm 내지 5 mm만큼 웨이퍼(2102)를 상승시키고, 제 2 층 증착은 보다 두꺼운 층의 구리가 웨이퍼 상에 증착되면 제 2 층 증착이 실행될 수 있다.As shown in FIG. 21, the wafer 2102 is loaded into the electroplating apparatus through the half moon chamber 2104 to the wafer chuck of the top cover assembly 2130. To plate copper on the wafer 2102, the top cover assembly 2130 lowers the wafer 2102 and positions the wafer 2102 over the top of the plating shower head assembly 2108. In one exemplary plating process, the first metal layer portion while the gap between the wafer 2102 and the plating shower head assembly 2108 is in the range of about 0.1 mm to about 10 mm, or preferably within about 2 mm. Deposition is performed. The top cover assembly 2130 further raises the wafer 2102 by 2 mm to 5 mm, and the second layer deposition may be performed if a thicker layer of copper is deposited on the wafer.

예시적인 전기도금 프로세스 및 순서가 미국특허 제 6,391,166호(발명의 명칭: 도금 장치 및 방법, 1999.1.15자로 출원됨), 미국특허출원 제 09/837902호(발명의 명칭: 도금 장치 및 방법, 2001.4.18자로 출원됨), 및 미국특허출원 제 09/837,911호(발명의 명칭: 도금 장치 및 방법, 2001.4.18자로 출원됨)에 개시되어 있으며, 이들 문헌 모두는 그 전체가 여기에 참조되었다.Exemplary electroplating processes and sequences are described in US Pat. No. 6,391,166 (named Plating Apparatus and Method, filed Jan. 1999), US Patent Application 09/837902 (name: Plating Apparatus and Method, 2001.4). .18 characters) and US Patent Application Serial No. 09 / 837,911 (named Plating Apparatus and Method, filed 2001.4.18), all of which are incorporated herein by reference in their entirety.

도 22는 도금 프로세스를 위한 예시적인 샤우어 헤드 조립체(2108)의 분해도이다. 샤우어 헤드 조립체(2108)는 외부 채널 링(2202), 샤우어 헤드 상부(2204), 및 샤우어 헤드(2206)를 포함한다. 도 23 및 도 24는 300 mm 웨이퍼 및 200 mm 웨이퍼를 전기도금하기 위해 구성된 예시적인 샤우어 헤드의 분해도를 각각 도시한다. 200 mm 웨이퍼와의 사용을 위해, 단순히, 300 mm 외부 채널 링(2302)을 200 mm 외부 채널 링(2402)으로 대체하고, 300 mm 샤우어 헤드 상부(2304)를 200 mm 샤우어 헤드 상부(2404)로 대체한다. 따라서, 샤우어 헤드(2006)는 300 mm 웨이퍼 및 200 mm 웨이퍼 모두에 대해 사용될 수 있다. 도 24를 참조하면, 웨이퍼의 크기가 300 mm에서 200 mm로 감소하면, 샤우어 헤드 상부(2404)는 보다 적은 수의 링을 포함할 수 있고, 외부 채널 링(2402)은 직경이 보다 작아질 수 있다. 그러나, 예시적인 샤우어 헤드가 임의의 크기의 웨이퍼를 위해 구성될 수 있음을 이해해야 한다.22 is an exploded view of an exemplary shower head assembly 2108 for the plating process. The shower head assembly 2108 includes an outer channel ring 2202, a shower head top 2204, and a shower head 2206. 23 and 24 show exploded views of example shower heads configured for electroplating 300 mm wafers and 200 mm wafers, respectively. For use with a 200 mm wafer, simply replace the 300 mm outer channel ring 2302 with a 200 mm outer channel ring 2402, and replace the 300 mm shower head top 2304 with a 200 mm shower head top 2404. ). Thus, the shower head 2006 can be used for both 300 mm wafers and 200 mm wafers. Referring to FIG. 24, when the size of the wafer is reduced from 300 mm to 200 mm, the shroud head top 2404 may include fewer rings, and the outer channel ring 2402 may be smaller in diameter. Can be. However, it should be understood that the exemplary shower head may be configured for wafers of any size.

도 25a는 예시적인 샤우어 헤드의 확대도를 도시한다. 도 25a에 도시된 바와 같이, 샤우어 헤드(2206)는 전극 링(2502), 너트(2504), 전극 커넥터(2506), 전극 외부 커네겉(2508), 소형 입구 플레어 피팅(2510), 입구 플레어 피팅(2512), 플레이트 필터 블록(2514), 샤우어 헤드 베이스(2516), 필터 스페이서(2518) 및 플레이트 필터 링(2520)을 포함할 수 있다. 각각의 전극 링(2502)은 부합하는 플레이트 필터 링(2520)의 상부 상에 피팅되며, 너트(2504), 전극 커넥터(2506) 및 전극 외부 커넥터(2508)에 의해 전극 링(2502)을 조임으로써 샤우어 헤드 베이스(2516) 상의 제 위치에 로킹된다. 도 21에 도시된 바와 같이, 각각의 전극은 전극 케이블(2314)에 의해 전극 외부 커넥터(2508)에 부착된다. 전극 링(2502)은 플래티늄, 플래티늄이 도포된 티타늄 등과 같은 방식(anticorrosive) 금속 또는 합금으로 이루어진다. 샤우어 헤드 베이스(2516)는 입구 플레어 피팅(2512)으로부터 그리고 소형 입구 플레이 피팅(2510)으로부터의 전해액 유동을 위한 채널을 구비할 것이다.25A shows an enlarged view of an exemplary shower head. As shown in FIG. 25A, the shower head 2206 includes an electrode ring 2502, a nut 2504, an electrode connector 2506, an electrode outer cover 2508, a small inlet flare fitting 2510, and an inlet flare. It may include a fitting 2512, a plate filter block 2514, a shower head base 2516, a filter spacer 2518, and a plate filter ring 2520. Each electrode ring 2502 is fitted on top of a matching plate filter ring 2520 and by tightening the electrode ring 2502 by a nut 2504, an electrode connector 2506, and an electrode outer connector 2508. It is locked in place on the shower head base 2516. As shown in FIG. 21, each electrode is attached to an electrode external connector 2508 by an electrode cable 2314. Electrode ring 2502 is made of an anticorrosive metal or alloy, such as platinum, titanium coated titanium, or the like. The shower head base 2516 will have channels for electrolyte flow from the inlet flare fitting 2512 and from the small inlet play fitting 2510.

도 25a를 다시 참조하면, 입구 플레어 피팅(2512)은 샤우어 헤드 베이스(2516) 내의 채널의 폭보다 클 수 있고, 입구 플레어 피팅은 7-링 또는 10 링 모두에 대해 동일한 위치 상에 고정될 수 없다. 샤우어 헤드 베이스(2516)에 입구 플레어 피팅을 고정시키기 위해, 그리고 링에 인장과 중량을 고르게 분배하기 위해, 모든 다른 작은 입구 플레어 피팅(2510) 또는 입구 플레어 피팅(2512) 및 대향하는 필터 블록(2514)이 원(필터 블록(2514)에 대해 도시안됨)의 대향 절반부 상에 위치한다. 입구 플레어 피팅(2512)과 유사하게, 전극 링(2502)은 모든 다른 전극 링과 함께 원의 다른 절반 상에 전극이 위치하도록 플레이트 필터 링(2520) 위에 끼워맞추어진다.Referring again to FIG. 25A, the inlet flare fitting 2512 may be larger than the width of the channel in the shower head base 2516, and the inlet flare fitting may be secured on the same position for both 7-rings or 10 rings. none. All other small inlet flare fittings 2510 or inlet flare fittings 2512 and opposing filter blocks to secure the inlet flare fitting to the shear head base 2516 and to distribute the tension and weight evenly to the ring. 2514 is located on opposite halves of a circle (not shown with respect to filter block 2514). Similar to inlet flare fitting 2512, electrode ring 2502 is fitted over plate filter ring 2520 so that the electrode is positioned on the other half of the circle along with all other electrode rings.

도 25b는 액체 유동 블록 조립체를 형성하고 전극 링(2502)이 액체 유동 블록 조립체 위에 끼워맞추어지도록, 필터 스페이서(2518)에 의해 함께 결합된 플레이트 필터 블록(2514)과 플레이트 필터 링(2520)의 분해도를 도시한다. 예시적인 액체 유동 블록 조립체는 오-링(2530)(도시 안됨)을 갖춘 각각의 플레이트 필터 블록(2514)의 중심과 아래에 입구 플레어 피팅(2512)을 갖춘 샤우어 헤드 베이스(2516) 위에 위치할 것이다. 각각의 플레이트 필터 링(2520)은 각각의 오리피스의 중심 내에 협소한 개구를 가지는 오리피스를 구비한다. 도 25a 및 도 25b 모두를 이제 참조하면, 액체 유동 블록 조립체 및 전극 링(2502)이 샤우어 헤드 베이스(2516)에 고정될 때, 샤우어 헤드 베이스(2516)의 바닥과 플레이트 필터 링(2520) 사이에 채널이 형성된다. 전해액은 입구 플레어 피팅(2512)으로부터 안으로 유동할 것이다. 전해액 스트림은 먼저 입구 위에서 플레이트 필터 블록(2514)의 중심과 부딪히고 채널 전체에 걸쳐 분배될 것이다. 전해액이 채널 내에서 상승하면, 전해액은 오리피스(2522) 외부로 균일하게 유동하고 전극 링(2502)에 도달할 것이다. 전해액은 전극 링(2502)을 통과하고, 노즐 헤드(2004) 내의 개구(2524)를 통해 웨이퍼(2102)의 표면으로 균일하게 유동할 것이다.25B illustrates an exploded view of plate filter block 2514 and plate filter ring 2520 joined together by filter spacer 2518 to form a liquid flow block assembly and to allow electrode ring 2502 to fit over liquid flow block assembly. Shows. An exemplary liquid flow block assembly may be positioned above the shroud head base 2516 with an inlet flare fitting 2512 at the center and below each plate filter block 2514 with an o-ring 2530 (not shown). will be. Each plate filter ring 2520 has an orifice having a narrow opening in the center of each orifice. Referring now to both FIGS. 25A and 25B, when the liquid flow block assembly and electrode ring 2502 are secured to the shower head base 2516, the bottom of the shower head base 2816 and the plate filter ring 2520. Channels are formed between them. The electrolyte will flow in from the inlet flare fitting 2512. The electrolyte stream will first strike the center of the plate filter block 2514 above the inlet and will be distributed throughout the channel. If the electrolyte rises in the channel, the electrolyte will flow evenly out of the orifice 2522 and reach the electrode ring 2502. The electrolyte will pass through the electrode ring 2502 and will flow uniformly to the surface of the wafer 2102 through the opening 2524 in the nozzle head 2004.

도 25c는 샤우어 헤드(2006)의 바닥에서 오리피스(2522)와 노즐 헤드의 개구(2524) 사이의 관계를 도시한다. 도 25c 및 도 22에 도시된 바와 같이, 샤우어 헤드 상부(2004)는 개구(2524)가 2 개의 오리피스(2522) 사이 내에 위치하도록 샤우어 헤드(2006) 위에 적층된다. 이러한 엇걸림 위치선정(staggered positioning)은 상술한 전해액의 유동이 액체 블록 유동 조립체 상의 각각의 오목부를 통해 균일하게 유동하게 한다. 도 25d의 샤우어 헤드의 평면도에 도시된 바와 같이, 개구(2524)는 샤우어 헤드 상부(2204)(또는 2304 또는 2404) 상의 외부 링 둘레에 배치된다. 이들 개구(2524)는 또한 샤우어 헤드 상부(2204) 상의 둘레 링의 내부에 있으며, 특별한 응용분야에 따라 원, 길다란 형상 등과 같은 임의의 형상으로 형성될 수 있다. 도 24를 참조하면, 개구(2524)는 3 개의 둘레 홀을 형성함으로써 생성된 긴 원형으로 형성될 수 있다.FIG. 25C shows the relationship between orifice 2522 and opening 2524 of the nozzle head at the bottom of shower head 2006. As shown in FIGS. 25C and 22, the shroud head top 2004 is stacked over the shroud head 2006 such that the opening 2524 is located between two orifices 2522. This staggered positioning allows the flow of electrolyte described above to flow evenly through each recess on the liquid block flow assembly. As shown in the top view of the shower head of FIG. 25D, an opening 2524 is disposed around the outer ring on the shower head top 2204 (or 2304 or 2404). These openings 2524 are also inside the circumferential ring on the shower head top 2204 and may be formed into any shape, such as a circle, an elongate shape, or the like, depending on the particular application. Referring to FIG. 24, the opening 2524 may be formed into an elongated circle created by forming three peripheral holes.

플레이트 필터 블록(2514)없이, 입구 플레어 피팅(2512)은 하나 이상의 개구를 통해 입구 플레어 피팅의 부근 위로 직접 전해액을 전달할 수 있으며, 채널 전체에 걸쳐 전해액의 불균형한 분포를 야기한다. 전해액은 하나의 입구로부터 유동하기 때문에, 전해액의 액체 압력을 제어하기가 어렵다. 액체 유동 블록 조립체를 사용하면, 플레이트 필터 블록(2514)이 전해액의 목하 스트림을 방해하고 채널 전체에 걸쳐 전해액을 분포시키기 때문에, 예시적인 장치는 구리와 같은 금속의 증착을 위한 보다 양호한 전해액의 제어를 제공한다. 채널 전체에 걸쳐 전해액을 분포시킴으로써, 동일한 또는 거의 동일한 전해액이 플레이트 필터 링(2520) 상의 각각의 오리피스(2522) 외부로 유동할 수 있게 한다. 도 25f에 도시된 바와 같이, 전해액은 전극 외부 커넥터(2508) 외부로 나와 샤우어 헤드 베이스(2516) 및 플레이트 필터 링(2520)을 통해 전극 링(2502)의 측면들 둘레로 유출되고, 샤우어 헤드 상부(2004) 상의 개구(2524)를 통해 외부로 유동한다.Without plate filter block 2514, inlet flare fitting 2512 can deliver electrolyte directly over the vicinity of the inlet flare fitting through one or more openings, resulting in an unbalanced distribution of electrolyte throughout the channel. Since the electrolyte flows from one inlet, it is difficult to control the liquid pressure of the electrolyte. Using a liquid flow block assembly, the exemplary apparatus provides better control of electrolytes for the deposition of metals, such as copper, because plate filter block 2514 disturbs the underlying stream of electrolytes and distributes electrolytes throughout the channel. to provide. Distributing electrolyte throughout the channel allows the same or nearly identical electrolyte to flow out of each orifice 2522 on the plate filter ring 2520. As shown in FIG. 25F, the electrolyte exits the electrode outer connector 2508 and flows out around the sides of the electrode ring 2502 through the shower head base 2516 and the plate filter ring 2520, and the shower It flows out through an opening 2524 on the head top 2004.

특정한 실시예, 실례 및 응용분야에 대해 예시적인 샤우어 헤드 장치를 기술하였지만, 본 발명에서 벗어나지 않고 다양한 개조와 변화가 이루어질 수 있음을 당업자는 이해할 것이다.Although exemplary shower head devices have been described for specific embodiments, examples, and applications, those skilled in the art will understand that various modifications and changes can be made without departing from the present invention.

Ⅵ. 웨이퍼를 평탄하게 하는 방법 및 장치Ⅵ. Method and apparatus for flattening wafers

다른 양상에 따르면, 전해연마 또는 전기도금 장치와 같은 프로세싱 모듈에 대해 반도체 웨이퍼를 평탄화시키는 방법 및 장치가 개시된다. 대체로, 웨이퍼를 프로세싱하는 동안, 웨이퍼의 주요 표면은 프로세싱 챔버 또는 툴의 레벨면과 대개 평행한 것이 바람직하다. 예컨대, 프로세싱 장치 내에서의 웨이퍼의 정렬은 연마 또는 도금 프로세스의 균일성을 증가시킨다.According to another aspect, a method and apparatus for planarizing a semiconductor wafer for a processing module, such as an electropolishing or electroplating apparatus, is disclosed. In general, during processing of the wafer, the major surface of the wafer is preferably parallel to the level surface of the processing chamber or tool. For example, the alignment of the wafer in the processing apparatus increases the uniformity of the polishing or plating process.

도 26a 및 도 26b는 예컨대 프로세싱 챔버와 같은 프로세싱 장치에 대해 ±0.001 인치 내에서의 웨이퍼(2602)의 평행도를 측정하는데 사용될 수 있는 예시적인 레벨링 툴(leveling tool)을 도시한다. 도 26a 및 도 26b에 도시된 바와 같이, 레벨링 장치는 대개 레벨링 툴(2604), 접지 라인(2610), 신호 라인(1612), 제어 시스템(2614) 및 척(2616)을 포함한다.26A and 26B show example leveling tools that may be used to measure the parallelism of the wafer 2602 within ± 0.001 inches for a processing apparatus such as a processing chamber, for example. As shown in FIGS. 26A and 26B, the leveling device typically includes a leveling tool 2604, a ground line 2610, a signal line 1612, a control system 2614 and a chuck 2616.

예시적인 척이 미국특허 제 6,248,222B1호(발명의 명칭: "반도체 작업편을 전해연마 및/또는 전기도금하는 동안 반도체 작업편을 유지하고 위치시키는 방법 및 장치(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)", 1999.9.7일 출원됨) 및 미국특허 제 6,495,007호(발명의 명칭: "반도체 작업편을 전해연마 및/또는 전기도금하는 동안 반도체 작업편을 유지하고 위치시키는 방법 및 장치(METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES)", 2001.3.7일 출원됨)에 개시되어 있으며, 이들 문헌은 본 명세서에 모두 참조하였다.An exemplary chuck is disclosed in U.S. Patent No. 6,248,222B1, entitled "Methods and Apparatus for Holding and Positioning SEMICONDUCTOR WORKPIECES" for holding and positioning semiconductor workpieces during electropolishing and / or electroplating of semiconductor workpieces. DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES ", filed Sep. 1999, and US Pat. No. 6,495,007 (name of the invention:" Keeping semiconductor workpieces during electropolishing and / or electroplating of semiconductor workpieces and METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND / OR ELECTROPLATING OF THE WORKPIECES ", filed Mar. 2001, all of which are incorporated herein by reference.

도 26a 및 도 26b를 참조하면, 척(2616)은 반도체 전해연마 및/또는 전기도금 프로세스 동안 웨이퍼(2602)를 유지한다. 전해연마 및/또는 전기도금 프로세스의 보다 균일한 프로세스를 제공하기 위해, 웨이퍼(2602)를 프로세싱 챔버(2630)와, 특히 프로세싱 장치의 도금 헤드 또는 연마 노즐(도시 안됨)과 평행 또는 거의 평행하게 위치시킨다. 레벨링 툴(2604)은 웨이퍼(2602)의 증가된 정렬을 제공하기 위해 프로세스 챔버(2630) 내부에 위치할 수 있다.Referring to FIGS. 26A and 26B, the chuck 2616 holds the wafer 2602 during the semiconductor electropolishing and / or electroplating process. In order to provide a more uniform process of the electropolishing and / or electroplating process, the wafer 2602 is positioned parallel or nearly parallel with the processing chamber 2630, in particular with the plating head or polishing nozzle (not shown) of the processing apparatus. Let's do it. Leveling tool 2604 may be located inside process chamber 2630 to provide increased alignment of wafer 2602.

레벨링 툴(2604)은 3 개의 센서(2606) 및 대응하는 신호 라인(2612)을 포함할 수 있다. 레벨링 툴(2604)이 척 아래에 위치하고 웨이퍼(2602)가 레벨링 툴(2604) 아래로 내려오게 되면, 신호 라인(2612)(센서(2606)를 통해)은 웨이퍼(2602)의 표면 상에 형성된 얇은 금속층을 통해 제어 시스템에 접속을 제공한다. 제어 시스템(2614)으로부터의 접지 라인(2610)은 웨이퍼(2602)의 금속층 상에 접속된다. 센서(2606)가 얇은 금속층과 접촉할 때, 제어 시스템(2614)에 의해 측정될 수 있는 접지 라인(2610)과 센서(2606) 사이에 회로가 완성된다.Leveling tool 2604 may include three sensors 2606 and corresponding signal lines 2612. When the leveling tool 2604 is under the chuck and the wafer 2602 is lowered below the leveling tool 2604, the signal line 2612 (via the sensor 2606) is thinned on the surface of the wafer 2602. Provides connection to the control system through the metal layer. Ground line 2610 from control system 2614 is connected on a metal layer of wafer 2602. When sensor 2606 is in contact with a thin metal layer, a circuit is completed between sensor 2606 and ground line 2610 that can be measured by control system 2614.

추가로, 도 26b에 도시된 바와 같이, 레벨링 툴(2604)은, 웨이퍼(2602)의 표면 부근에 레벨링 툴(2604)을 위치시키며, 폴리싱 노즐과 척(2616) 내의 웨이퍼(2602)의 평행도를 측정할 때 사용하기 위한 지지부를 포함할 수 있다.In addition, as shown in FIG. 26B, the leveling tool 2604 positions the leveling tool 2604 near the surface of the wafer 2602 and adjusts the parallelism of the polishing nozzles and the wafer 2602 in the chuck 2616. It may comprise a support for use in the measurement.

도 26c는 예시적인 센서(2606)의 횡단면도를 도시한다. 센서(2606)는 홀더(2626), 고정 나사(2628), 핀 조절부(2620), 접촉 나사(2622) 및 핀(2624)를 포함한다. 신호 라인(2602)은 접촉 나사(2622)를 통해 센서(2606)에 접속된다. 홀더(2626), 핀 조절부(2620) 및 핀(2624)은 스테인레스 강, 티타늄, 탄탈 또는 금과 같은 금속 또는 합금으로 이루어질 수 있다.26C shows a cross-sectional view of an example sensor 2606. Sensor 2606 includes a holder 2626, a set screw 2628, a pin adjuster 2620, a contact screw 2622 and a pin 2624. Signal line 2602 is connected to sensor 2606 through contact screw 2622. Holder 2626, pin adjuster 2620 and pin 2624 may be made of a metal or alloy such as stainless steel, titanium, tantalum or gold.

프로세스 툴과 관련한 웨이퍼(2602)의 정렬 또는 평행도를 측정하기 위한 하나의 예시적인 프로세스에서, 척(2616)은 핀(2624)이 웨이퍼(2602)의 전도성 표면과 접촉할 때까지 레벨링 툴(2604)을 향해 내려간다. 접촉은 전기 회로를 완성하는데, 이러한 회로는 신호 라인(2612), 접지 라인(2610) 및 제어 시스템(2614)을 포함하며, 제어 시스템(2614)에 신호를 공급한다. 제어 시스템(2614)은 접촉의 순간에 척(2616)의 원래 위치로부터 핀의 위치까지의 거리를 결정한다.In one exemplary process for measuring alignment or parallelism of wafer 2602 in relation to a process tool, chuck 2616 is leveling tool 2604 until pin 2624 is in contact with a conductive surface of wafer 2602. Go down towards you. The contact completes an electrical circuit, which includes a signal line 2612, a ground line 2610, and a control system 2614, which supplies a signal to the control system 2614. The control system 2614 determines the distance from the original position of the chuck 2616 to the position of the pin at the moment of contact.

척(2616)은 제 2 센서(2606) 및 제 3 센서(2606)가 웨이퍼(2602)의 표면과 접촉할 때까지 계속해서 하강한다. 2개의 센서 접촉에 대한 대응하는 거리가 얻어지고 측정 프로세스가 종료된다.The chuck 2616 continues to descend until the second sensor 2606 and the third sensor 2606 contact the surface of the wafer 2602. The corresponding distances for the two sensor contacts are obtained and the measurement process ends.

도 27에 도시된 바와 같이, 예시적인 프로세스는 소프트웨어 인터페이스를 포함할 수 있는데, 이러한 소프트웨어 인터페이스는 각각의 센서(2606)에 대한 접촉의 순간에 측정된 거리를 보여준다. 이러한 인터페이스는 또한 센서(2606)의 위치를 보여줄 수 있다. 측정된 거리의 최대 거리와 최소 거리 사이의 차이가 작을 수록, 웨이퍼(2602)는 보다 가까이 정렬되거나 또는 보다 평행한 관계로 정렬된다. 이러한 데이타는 척(2616)을 조절하는데 사용될 수 있고, 결국, 웨이퍼(2602)를 위치시킨다. 조절이 이루어진 후에, 측정된 거리의 최대값과 최소값 사이의 차이가 특정 응용분야에 따라 ±0.001 인치 등과 같은 설계조건내에 있을 때까지 측정 사이클이 반복될 수 있다.As shown in FIG. 27, an example process may include a software interface, which shows the measured distance at the moment of contact with each sensor 2606. This interface can also show the location of the sensor 2606. The smaller the difference between the maximum and minimum distances of the measured distance, the closer the wafers 2602 are aligned in a closer or parallel relationship. This data can be used to adjust the chuck 2616 and eventually position the wafer 2602. After the adjustment has been made, the measurement cycle may be repeated until the difference between the maximum and minimum values of the measured distance is within design conditions such as ± 0.001 inches, depending on the particular application.

특정 실시예, 실례 및 응용분야와 관련하여 예시적인 웨이퍼 정렬 방법 및 시스템을 설명하였지만, 본 발명에서 벗어나지 않고 다양한 개조 및 변경이 이루어질 수 있음을 당업자는 이해할 것이다.Although exemplary wafer alignment methods and systems have been described in connection with specific embodiments, examples, and applications, those skilled in the art will understand that various modifications and changes can be made without departing from the present invention.

여러 장치, 방법 및 시스템의 상술한 설명은 예시적인 실시예를 도해하기 위해 제공된 것이지 제한하려는 의도는 아니다. 본 발명의 범위 내에서 다양한 변경과 개조가 가능함을 당업자는 이해할 것이다. 예컨대, 클리닝 챔버, 광 센서, 액체 전달 시스템, 엔드 포인트 검출기 등과 같은 상이한 예시적인 전해연마 및 전기도금 장치를 하나의 프로세스 조립체에서 함께 사용할 수도 있거나, 또는 개별적으로 사용할 수도 있어서, 전해연마 및/또는 전기도금 시스템 및 방법을 향상시킨다. 따라서, 본 발명은 첨부된 청구의 범위에 의해 정의되며, 상술한 상세한 설명에 한정되어서는 안된다.The foregoing descriptions of various devices, methods, and systems are provided to illustrate exemplary embodiments and are not intended to be limiting. Those skilled in the art will understand that various changes and modifications can be made within the scope of the invention. For example, different exemplary electropolishing and electroplating devices, such as cleaning chambers, light sensors, liquid delivery systems, endpoint detectors, and the like, may be used together in one process assembly, or may be used separately to provide electropolishing and / or electrolysis. Improve plating systems and methods. Accordingly, the invention is defined by the appended claims and should not be limited to the foregoing description.

Claims (137)

반도체 웨이퍼를 저장하기 위한 저장 모듈과,A storage module for storing a semiconductor wafer, 상기 웨이퍼의 전해연마 및 상기 웨이퍼의 전기도금 중 하나 이상을 실시하기 위한 수직으로 적층된 다수의 프로세싱 모듈과,A plurality of vertically stacked processing modules for carrying out at least one of electropolishing of the wafer and electroplating of the wafer; 클리닝 모듈과, 그리고A cleaning module, and 상기 저장 모듈, 상기 프로세싱 모듈 및 상기 클리닝 모듈 사이에 상기 웨이퍼를 이송하기 위한 로봇을 포함하며,A robot for transferring the wafer between the storage module, the processing module and the cleaning module, 개별의 프레임으로 특징지어지는 2 이상의 섹션으로 분할되는,Divided into two or more sections characterized by separate frames, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 프로세싱을 하기 전에 상기 웨이퍼를 정렬하기 위한 예비-정렬 모듈을 더 포함하는,Further comprising a pre-align module for aligning the wafer prior to processing; 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 상기 로봇은 상기 웨이퍼를 집어올리고 이송하기 위한 하나 이상의 엔드 이펙터를 포함하는,The robot comprises one or more end effectors for picking up and transporting the wafer, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 상기 로봇은 상기 2 개 이상의 섹션 중 하나로부터 롤링하거나 또는 슬라이딩함으로써 제거가능한,The robot is removable by rolling or sliding from one of the two or more sections, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 상기 로봇은,The robot, 상기 프로세싱 모듈에 상기 웨이퍼를 이송하기 위한 제 1 엔드 이펙터, 및A first end effector for transferring the wafer to the processing module, and 상기 프로세싱 모듈로부터 상기 웨이퍼를 이송하기 위한 제 2 엔드 이펙터를 포함하는,A second end effector for transferring the wafer from the processing module, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 상기 프로세싱 모듈에 프로세스액을 전달하기 위한 액체 전달 시스템을 더 포함하는,Further comprising a liquid delivery system for delivering process liquid to the processing module, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 6 항에 있어서,The method of claim 6, 상기 액체 전달 시스템은 서지 억제기를 포함하는,The liquid delivery system includes a surge suppressor 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 6 항에 있어서,The method of claim 6, 상기 액체 전달 시스템은 프로세스액의 유량을 조절하기 위한 제어기를 포함하는,The liquid delivery system includes a controller for adjusting the flow rate of the process liquid, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 6 항에 있어서,The method of claim 6, 상기 액체 전달 시스템은 봉쇄 트레이 내에 보유되어 있는,The liquid delivery system is held in a containment tray, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 제 1 항에 있어서,The method of claim 1, 상기 프로세싱 모듈로부터 가스를 제어하기 위한 배출관을 포함하는,A discharge pipe for controlling the gas from the processing module, 하나 이상의 반도체 웨이퍼를 프로세싱하는 장치.An apparatus for processing one or more semiconductor wafers. 프로세스 조립체 내에서의 반도체 웨이퍼의 전해연마 및 전기도금 중 하나 이상을 실행하기 위한 방법으로서,A method for carrying out at least one of electropolishing and electroplating of a semiconductor wafer in a process assembly, the method comprising: 제 1 엔드 이펙터에 의해 적층된 다수의 프로세싱 모듈 중 하나에 웨이퍼를 이송시키는 단계와,Transferring the wafer to one of the plurality of processing modules stacked by the first end effector, 상기 프로세싱 모듈에서 상기 웨이퍼를 전해연마 또는 전기도금하는 단계와,Electropolishing or electroplating the wafer in the processing module; 제 2 엔드 이펙터에 의해 상기 프로세싱 모듈로부터 클리닝 모듈로 상기 웨이퍼를 이송시키는 단계와, 그리고Transferring the wafer from the processing module to a cleaning module by a second end effector, and 상기 클리닝 모듈 내에서 상기 웨이퍼를 클리닝하는 단계를 포함하며,Cleaning the wafer in the cleaning module; 상기 프로세스 조립체가 개별의 프레임에 의해 특징지어지는 2 이상의 섹션으로 분할되는,Wherein said process assembly is divided into two or more sections characterized by separate frames, 프로세스 조립체 내에서의 반도체 웨이퍼의 전해연마 및 전기도금 중 하나 이상을 실행하는 방법.A method of carrying out one or more of electropolishing and electroplating of semiconductor wafers in a process assembly. 제 11 항에 있어서,The method of claim 11, 상기 웨이퍼를 이송시키는 단계는, 상기 프로세스 조립체 외부로 슬라이딩 또는 롤링시키도록 구성된 로봇을 사용하는 단계를 포함하는,Transporting the wafer includes using a robot configured to slide or roll out of the process assembly, 프로세스 조립체 내에서의 반도체 웨이퍼의 전해연마 및 전기도금 중 하나 이상을 실행하는 방법.A method of carrying out one or more of electropolishing and electroplating of semiconductor wafers in a process assembly. 제 11 항에 있어서,The method of claim 11, 공급 라인을 통해 상기 프로세싱 모듈로 액체를 전달하는 단계를 더 포함하며, 상기 공급 라인과 서지 억제기가 연관되어 있는,Delivering liquid through said supply line to said processing module, wherein said supply line is associated with a surge suppressor, 프로세스 조립체 내에서의 반도체 웨이퍼의 전해연마 및 전기도금 중 하나 이상을 실행하는 방법.A method of carrying out one or more of electropolishing and electroplating of semiconductor wafers in a process assembly. 제 11 항에 있어서,The method of claim 11, 상기 프로세싱 모듈로부터 배출 시스템을 통해 가스를 제거하는 단계를 더 포함하는,Further comprising removing gas from the processing module through an exhaust system; 프로세스 조립체 내에서의 반도체 웨이퍼의 전해연마 및 전기도금 중 하나 이상을 실행하는 방법.A method of carrying out one or more of electropolishing and electroplating of semiconductor wafers in a process assembly. 엔드 이펙터 부재의 일측면 상에 위치하는 개구,An opening located on one side of the end effector member, 상기 개구로부터 가스를 소개시키기 위해 상기 개구에 연결된 통로, 및A passage connected to the opening for introducing gas from the opening, and 상기 개구로부터 가스가 소개될 때 상기 엔드 이펙터와 상기 반도체 웨이퍼 사이에 일시적인 시일을 생성시키도록 구성된, 상기 개구 둘레로 배치된 컵을 포함하는,A cup disposed around the opening, configured to create a temporary seal between the end effector and the semiconductor wafer when gas is introduced from the opening; 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 내부에 형성된 홈을 구비하며 상기 개구 위로 배치되어 있는 캡을 더 포함하는,Further comprising a cap having a groove formed therein and disposed above the opening, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 16 항에 있어서,The method of claim 16, 상기 캡은 원형으로 형성되어 있는,The cap is formed in a circular shape, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 진공 통로에 연결된 2 이상의 개구를 더 포함하는,Further comprising at least two openings connected to the vacuum passage, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 하나의 컵 내부에서 상기 진공 통로에 연결된 2 이상의 개구를 더 포함하는,Further comprising at least two openings connected to the vacuum passage within one cup, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 가요성 물질을 포함하는,Wherein the cup comprises a flexible material, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 엘라스토머 물질을 포함하는,Wherein the cup comprises an elastomeric material, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 상기 엔드 이펙터 부재의 표면으로부터 멀어지게 연장하는,The cup extending away from the surface of the end effector member, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 원형으로 형상화되어 있는,The cup is shaped like a circle, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 긴 원으로 형상화되어 있는,The cup is shaped into a long circle, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 컵은 편자형으로 형상화되어 있는,The cup is shaped like a horseshoe, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 엔드 이펙터는 로봇에 기계적으로 연결되며, 상기 컵은 상기 엔드 이펙터의 말단부에 배치되어 있는,The end effector is mechanically connected to the robot and the cup is disposed at the distal end of the end effector, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 엔드 이펙터의 말단부는 편자형인,The distal end of the end effector is horseshoe-shaped, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 진공의 통로는 상기 엔드 이펙터의 바디와 일체로 형성되는,The passage of the vacuum is integrally formed with the body of the end effector, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 진공의 통로는 진공원에 연결되어 있는,The passage of the vacuum is connected to a vacuum source, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 제 15 항에 있어서,The method of claim 15, 상기 진공의 통로는 상기 진공의 통로 안으로 가스를 도입시키기 위한 가스원에 추가로 연결되어 있는,The passage of the vacuum is further connected to a gas source for introducing gas into the passage of the vacuum, 반도체 웨이퍼 유지 장치.Semiconductor wafer holding device. 반도체 웨이퍼의 주요 표면에 근접해서 엔드 이펙터를 위치시키는 단계와,Positioning the end effector in proximity to the major surface of the semiconductor wafer; 상기 웨이퍼의 상기 주요 표면과 마주하는 상기 엔드 이펙터의 주요 표면 상에 배치된 가요성 컵을 소개시키는 단계와, 그리고Introducing a flexible cup disposed on a major surface of the end effector facing the major surface of the wafer, and 상기 진공의 컵과 상기 웨이퍼 사이에 일시적인 시일을 생성시키는 단계를포함하는,Creating a temporary seal between the cup of vacuum and the wafer, 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 가요성 컵은 상기 웨이퍼의 상부 주요 표면에 인접하며 중력에 대항해서 상기 웨이퍼를 유지시키기에 충분히 소개되어 있는,The flexible cup is adjacent to the upper major surface of the wafer and is sufficiently introduced to hold the wafer against gravity. 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 가요성 컵은 상기 웨이퍼의 하부 주요 표면에 인접하며 주변 압력에 비해 보다 낮은 압력으로 소개되는,The flexible cup is adjacent to the lower major surface of the wafer and introduced at a lower pressure than the ambient pressure, 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 가요성 컵은 원형의 형상인,The flexible cup is of circular shape, 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 웨이퍼를 해제시키도록 상기 가요성 컵 안으로 가스를 도입시키는 단계를 더 포함하는,Introducing gas into the flexible cup to release the wafer; 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 가요성 컵은 상기 공동 내에 형성된 개구를 통해 소개되는,Wherein the flexible cup is introduced through an opening formed in the cavity, 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 제 31 항에 있어서,The method of claim 31, wherein 상기 가요성 컵은 상기 개구 위에 배치된 캡을 포함하며, 상기 캡은 내부에 형성된 홈을 구비하는,The flexible cup includes a cap disposed over the opening, the cap having a groove formed therein, 반도체 웨이퍼의 유지 방법.Method of holding a semiconductor wafer. 반도체 웨이퍼의 주요 표면에 액체 및 가스를 공급하도록 구성된 노즐 헤드를 포함하는 웨이퍼 엣지 클린 조립체를 포함하며,A wafer edge clean assembly comprising a nozzle head configured to supply liquid and gas to a major surface of the semiconductor wafer, 상기 액체는 상기 웨이퍼의 상기 주요 표면의 외부 엣지에 인접해서 공급되며, 그리고The liquid is supplied adjacent to an outer edge of the major surface of the wafer, and 상기 가스는 상기 액체가 공급되는 위치의 내측 반경방향으로 공급되는,The gas is supplied radially inward of the position at which the liquid is supplied, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 가스 및 액체는 인접하는 복수의 노즐로부터 공급되는,The gas and liquid are supplied from a plurality of adjacent nozzles, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 가스는 질소 가스를 포함하며, 상기 액체는 금속 에칭 화학물질을 포함하는,The gas comprises nitrogen gas and the liquid comprises a metal etching chemical, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 노즐은 상기 액체가 상기 웨이퍼의 상기 주요 표면 상에 내측 반경방향으로 전개되는 것을 방지하도록 상기 가스를 공급할 수 있게 구성되어 있는,The nozzle is configured to supply the gas to prevent the liquid from developing radially inwardly on the major surface of the wafer, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 노즐은 상기 액체가 상기 가스를 가로지르는 것을 방지하도록 커튼 형상으로 가스를 공급할 수 있게 구성되어 있는,The nozzle is configured to supply gas in a curtain shape to prevent the liquid from crossing the gas, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 노즐은 상기 웨이퍼의 상기 주요 표면과 평행한 수평의 스팬을 포함하여, 상기 수평의 스팬과 상기 웨이퍼의 마주하는 주요 표면 사이에 가스 배리어를형성하는,The nozzle comprises a horizontal span parallel to the major surface of the wafer, thereby forming a gas barrier between the horizontal span and the opposing major surface of the wafer, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 43 항에 있어서,The method of claim 43, 상기 수평의 스팬과 상기 웨이퍼의 상기 주요 표면 사이의 거리는 대략 0.1 mm 내지 2.0 mm인,The distance between the horizontal span and the major surface of the wafer is approximately 0.1 mm to 2.0 mm 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 43 항에 있어서,The method of claim 43, 상기 수평의 수팬과 상기 웨이퍼의 주요 표면 사이의 거리는 대략 1.5 mm인,The distance between the horizontal pan and the main surface of the wafer is approximately 1.5 mm, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 38 항에 있어서,The method of claim 38, 상기 노즐과 인접해서 상기 웨이퍼를 회전시키기 위한 척을 더 포함하는,Further comprising a chuck for rotating the wafer adjacent the nozzle, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 46 항에 있어서,The method of claim 46, 상기 척 조립체는 상기 척이 회전할 때 상기 웨이퍼를 고정시키도록 구성된 포지셔너를 포함하는,The chuck assembly includes a positioner configured to hold the wafer as the chuck rotates, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 47 항에 있어서,The method of claim 47, 상기 포지셔너는 기계적으로 연결된 제 1 부분 및 제 2 부분을 포함하며, 상기 제 1 부분은, 회전하는 동안, 상기 웨이퍼를 고정시키기 위해 상기 제 1 부분이 외측으로 이동하고 상기 제 2 부분이 내측으로 이동하도록 상기 제 2 부분보다 큰 질량을 가지는,The positioner includes a first portion and a second portion that are mechanically connected, wherein the first portion moves outwardly and the second portion moves inwardly to secure the wafer during rotation. Having a mass greater than the second portion so that 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 제 48 항에 있어서,49. The method of claim 48 wherein 상기 포지셔너는 회전 축선을 포함하며, 상기 제 1 부분은 상기 회전 축선의 아래에 위치하고 상기 제 2 축선은 상기 회전 축선의 위에 위치하는,The positioner comprises an axis of rotation, the first portion being below the axis of rotation and the second axis being located above the axis of rotation, 반도체 웨이퍼의 클리닝 장치.Cleaning device for semiconductor wafers. 중심축선을 중심으로 반도체 웨이퍼를 회전시키는 단계와,Rotating the semiconductor wafer about a central axis; 상기 웨이퍼의 주요 표면에 유체를 인도하는 단계와,Directing fluid to the major surface of the wafer; 에칭액이 인도되는 위치에 인접하게 반경방향 안쪽으로 위치한 상기 웨이퍼의 주요 표면에 가스를 인도하는 단계를 포함하는, 엣지 클린 프로세스를 포함하는,Comprising an edge clean process comprising guiding a gas to a major surface of the wafer located radially inward adjacent the location where the etchant is delivered, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스는 상기 반도체 웨이퍼 상에서 내측 반경방향으로 유동하도록 유체에 대한 포텐셜을 감소시키는,The gas reduces the potential for the fluid to flow inward radially on the semiconductor wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스 및 액체는 동시에 공급되는,The gas and liquid are supplied simultaneously, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스는 상기 웨이퍼에 상기 유체를 인도하기 전에 그리고 상기 웨이퍼에 상기 유체를 인도하는 동안 상기 웨이퍼에 인도되는,The gas is delivered to the wafer prior to delivering the fluid to the wafer and during the delivery of the fluid to the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스는 상기 웨이퍼에 상기 유체를 인도하는 프로세스 동안 그리고 상기 웨이퍼에 상기 유체를 인도하는 프로세스 후에 상기 웨이퍼에 인도되는,The gas is delivered to the wafer during the process of delivering the fluid to the wafer and after the process of delivering the fluid to the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스는 질소를 포함하고, 상기 액체는 금속 에칭 화학물질을 포함하는,The gas comprises nitrogen and the liquid comprises a metal etching chemical, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 액체는 상기 웨이퍼의 주요 표면 상의 경사 영역에 공급되는,The liquid is supplied to an inclined region on the major surface of the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 56 항에 있어서,The method of claim 56, wherein 상기 가스는 상기 경사 영역의 반경방향 내부 엣지에 공급되는,The gas is supplied to a radially inner edge of the inclined region, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 가스는 상기 액체가 공급되는 위치에 인접한 영역에 공급되며, 상기 영역은 상기 웨이퍼 상의 내측 반경방향으로 유동하도록 액체의 포텐셜을 감소시키기 위한 반경 방향으로의 폭과 둘레 방향의 길이를 가지는,The gas is supplied to an area adjacent to the location where the liquid is supplied, the area having a width in the radial direction and a length in the circumferential direction to reduce the potential of the liquid to flow inward radially on the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 척은 상기 엣지 클리닝 프로세스 동안 대략 50 내지 500 rpm으로 상기 웨이퍼를 회전시키는,The chuck rotates the wafer at approximately 50 to 500 rpm during the edge cleaning process, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 척은 상기 엣지 클리닝 프로세스 동안 대략 350 rpm으로 상기 웨이퍼를 회전시키는,The chuck rotates the wafer at approximately 350 rpm during the edge cleaning process, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 웨이퍼의 양쪽 주요 표면에 DI수를 공급하는 단계를 더 포함하는,Supplying DI water to both major surfaces of the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 대략 1000 내지 3000 rpm으로 상기 웨이퍼를 회전시키고 상기 웨이퍼의 상기 주요 표면에 가스의 스트림을 공급함으로써, 상기 웨이퍼를 건조시키는 단계를 더 포함하는,Drying the wafer by rotating the wafer at approximately 1000 to 3000 rpm and supplying a stream of gas to the major surface of the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 액체가 상기 웨이퍼를 유지시키는 포지셔너와 직접 접촉하지 않도록 상기 웨이퍼를 진동시키는 동안, 1/3 간격으로 상기 웨이퍼의 후방에 액체를 인도하는 단계를 더 포함하는,Guiding the liquid behind the wafer at 1/3 intervals, while vibrating the wafer such that the liquid is not in direct contact with the positioner holding the wafer; 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 액체가 상기 웨이퍼를 유지시키는 포지셔너와 직접 접촉하지 않도록 펄스로 상기 웨이퍼의 후방에 액체를 인도하는 단계를 더 포함하는,Guiding the liquid behind the wafer with a pulse such that the liquid does not directly contact the positioner holding the wafer, 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 제 50 항에 있어서,51. The method of claim 50 wherein 상기 웨이퍼가 상기 척에 대해 시프팅되도록 충분한 가속으로 상기 웨이퍼를 유지시키는 척을 회전시키는 단계와 클리닝 프로세스를 반복하는 단계를 더 포함하는,Rotating the chuck holding the wafer at a sufficient acceleration so that the wafer is shifted with respect to the chuck and repeating the cleaning process; 반도체 웨이퍼의 클리닝 방법.Method for cleaning semiconductor wafers. 척 상에 위치하는 반도체 웨이퍼를 회전시키는 단계와,Rotating the semiconductor wafer located on the chuck; 상기 웨이퍼가 회전할 때 센서를 갖춘 상기 웨이퍼의 주요 표면의 특성을 측정하는 단계와, 그리고Measuring the properties of the major surface of the wafer with sensors as the wafer rotates, and 상기 측정된 특성에 근거하여 상기 웨이퍼가 정확하게 위치하는가를 결정하는 단계를 포함하는,Determining whether the wafer is correctly positioned based on the measured characteristic, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 제 66 항에 있어서,The method of claim 66, wherein 상기 센서는 상기 웨이퍼의 표면으로부터 나오는 빛의 반사율을 측정하는 광센서인,The sensor is an optical sensor for measuring the reflectance of the light emitted from the surface of the wafer, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 제 66 항에 있어서,The method of claim 66, wherein 상기 반사율이 임계값 아래로 변화한다면 상기 웨이퍼가 상기 척 상에 정확하게 위치하지 않음을 결정하는,Determining that the wafer is not correctly positioned on the chuck if the reflectance changes below a threshold, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 제 66 항에 있어서,The method of claim 66, wherein 상기 센서는 상기 웨이퍼의 표면과 상기 센서 사이의 거리를 측정하는 근접 센서인,The sensor is a proximity sensor measuring the distance between the surface of the wafer and the sensor, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 제 66 항에 있어서,The method of claim 66, wherein 상기 센서는 음향 센서인,The sensor is an acoustic sensor, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 제 66 항에 있어서,The method of claim 66, wherein 상기 센서는 와류전류 센서인,The sensor is a eddy current sensor, 척 상의 웨이퍼 위치선정 방법.Wafer positioning method on chuck. 반도체 웨이퍼의 주요 표면에 프로세싱 액체를 분사하도록 구성된 프로세싱 노즐과 마주하여 상기 웨이퍼 상에 위치하며, 웨이퍼를 프로세싱할 때 상기 프로세싱 노즐에 대해 제 1 방향으로 병진운동하는 척 조립체와, 그리고A chuck assembly positioned on the wafer opposite a processing nozzle configured to spray processing liquid onto a major surface of the semiconductor wafer, the chuck assembly translating in a first direction relative to the processing nozzle when processing the wafer; 상기 척 조립체와 함께 병진운동하도록 상기 척 조립체에 기계적으로 연결된 슈라우드를 포함하는,A shroud mechanically coupled to the chuck assembly to translate with the chuck assembly, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 상기 슈라우드는 상기 척 조립체에 자기적으로 연결되어 있는,The shroud is magnetically connected to the chuck assembly, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 상기 척 조립체는 상기 웨이퍼 상에 액체가 분사되는 위치를 조절하도록 상기 제 1 방향과 수직한 제 2 방향으로 병진운동하는,The chuck assembly translates in a second direction perpendicular to the first direction to adjust the position at which liquid is injected onto the wafer, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 전해연마 프로세스 동안, 상기 척 조립체는 상기 노즐로부터 대략 0.5 mm 내지 10 mm의 거리에 상기 웨이퍼의 주요 표면을 위치시키는,During the electropolishing process, the chuck assembly positions the major surface of the wafer at a distance of approximately 0.5 mm to 10 mm from the nozzle, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 75 항에 있어서,76. The method of claim 75 wherein 상기 거리는 대략 5 mm인,The distance is approximately 5 mm, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 전기도금 프로세스 동안 상기 척 조립체는 상기 노즐로부터 대략 0.5 mm 내지 20 mm의 거리에 상기 웨이퍼의 주요 표면을 위치시키는,During the electroplating process, the chuck assembly positions the major surface of the wafer at a distance of approximately 0.5 mm to 20 mm from the nozzle, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 77 항에 있어서,78. The method of claim 77 wherein 상기 거리는 대략 5 mm인,The distance is approximately 5 mm, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 상기 웨이퍼의 주요 표면 상의 금속층을 측정하도록 구성된 엔드-포인트 검출기 및 광센서를 더 포함하는,Further comprising an end-point detector and an optical sensor configured to measure a metal layer on the major surface of the wafer, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 72 항에 있어서,The method of claim 72, 상기 척 조립체는 상기 프로세스 챔버와 자기적으로 연결되어 있는,The chuck assembly is magnetically connected to the process chamber, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 제 80 항에 있어서,81. The method of claim 80, 상기 척 조립체는 상기 프로세스 챔버와 연결해제가능한,The chuck assembly is disconnectable from the process chamber, 반도체 웨이퍼의 전해연마 또는 전기도금 프로세스를 위한 프로세스 챔버.Process chamber for electropolishing or electroplating processes of semiconductor wafers. 프로세싱 액체의 스트림을 인도하기 위한 노즐,A nozzle for directing a stream of processing liquid, 금속 박막 표면에의 프로세싱 액체의 교반을 향상시키도록 구성된 에너지 요소를 포함하는,An energy element configured to enhance agitation of the processing liquid on the metal thin film surface, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 상기 노즐에 기계적으로 연결되어 있는,The energy element is mechanically connected to the nozzle, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 초음파 변환기, 마그나소닉 변환기, 레이져원, 적외선 열원, 마이크로웨이브원 및 자기원 중 하나 이상을 포함하는,The energy element comprises one or more of an ultrasonic transducer, a magnetasonic transducer, a laser source, an infrared heat source, a microwave source and a magnetic source, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 15 kHz 내지 100 Mega Hz의 범위에서 작동하도록 구성된 초음파 변환기를 포함하는,The energy component comprises an ultrasonic transducer configured to operate in the range of 15 kHz to 100 Mega Hz. 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 1 내지 100 W/㎠에서 작동하도록 구성된 레이져를 포함하며, 상기 레이져는 웨이퍼 상에 금속막의 표면으로 인도되는,The energy element comprises a laser configured to operate at 1 to 100 W / cm 2, the laser being directed to the surface of the metal film on the wafer, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 레이져에 의해 초음파를 자극시킴으로써 상기 금속막 두께를 결정하는 것을 더 포함하는,Determining the metal film thickness by stimulating ultrasonic waves with a laser, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 1 내지 100 W/㎠에서 작동하도록 구성된 적외선원을 포함하며, 상기 적외선원은 웨이퍼 상의 금속막의 표면에 인도되는,The energy element comprises an infrared source configured to operate at 1 to 100 W / cm 2, the infrared source being directed to the surface of the metal film on the wafer, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 금속막의 표면의 표면온도를 측정하기 위한 적외선 센서를 더 포함하는,Further comprising an infrared sensor for measuring the surface temperature of the surface of the metal film, 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 제 82 항에 있어서,83. The method of claim 82, 상기 에너지 요소는 웨이퍼 상의 금속막의 표면에 있는 프로세스 유체내에 전류의 초점을 맞추도록 구성된 자기원을 포함하는,The energy element comprises a magnetic source configured to focus a current in a process fluid at a surface of a metal film on a wafer 전해연마 또는 전기도금 장치.Electropolishing or electroplating apparatus. 웨이퍼를 유지하는 웨이퍼 척을 회전시키는 단계와,Rotating a wafer chuck holding the wafer, 웨이퍼의 표면 상의 금속층으로 프로세싱 액체의 스트림을 인도하는 단계와,Directing a stream of processing liquid to the metal layer on the surface of the wafer; 상기 프로세싱 액체의 스트림에 대해 상기 웨이퍼를 병진운동시키는 단계와,Translating the wafer relative to the stream of processing liquid; 상기 웨이퍼와 함께 슈라우드를 병진운동시키는 단계를 포함하며,Translating the shroud with the wafer, 상기 슈라우드와 상기 웨이퍼가 기계적으로 연결되어 있는,The shroud and the wafer are mechanically connected, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 슈라우드 및 웨이퍼 척은 자기적으로 연결되어 있으며 연결해제 가능한,The shroud and wafer chuck are magnetically connected and disconnectable, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 웨이퍼는 상기 웨이퍼의 주요 표면과 평행한 방향으로 병진운동하고 일정한 선형 속도로 회전하는,The wafer translates in a direction parallel to the main surface of the wafer and rotates at a constant linear velocity, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 엔드-포인트 검출기에 의해 상기 금속층의 반사율을 측정하는 단계와, 금속 박막 두께 프로파일을 생성시키는 단계를 더 포함하는,Measuring the reflectance of the metal layer by an end-point detector, and generating a metal thin film thickness profile, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 결정된 금속 박막 두께 프로파일에 근거해서 전류 흐름을 조절하는 단계를 더 포함하는,Adjusting the current flow based on the determined metal thin film thickness profile, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 전해연마 프로세스는,The electropolishing process, a) 상기 웨이퍼 상의 금속막의 원하는 두께를 결정하는 단계와,a) determining a desired thickness of the metal film on the wafer, b) 상기 웨이퍼 상의 상기 금속막의 일부분을 제거하는 단계와,b) removing a portion of the metal film on the wafer; c) 상기 금속막의 두께를 측정하는 단계와,c) measuring the thickness of the metal film; d) 상기 금속막의 두께가 상기 원하는 두께보다 크다면, 상기 원하는 두께가 측정될 때까지 b), c) 및 d)단계를 반복하는 단계를 포함하는,d) if the thickness of the metal film is greater than the desired thickness, repeating steps b), c) and d) until the desired thickness is measured; 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 96 항에 있어서,97. The method of claim 96, 상기 금속막은 엔드 포인트 검출기에 의해 측정되는,The metal film is measured by an endpoint detector, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 96 항에 있어서,97. The method of claim 96, 상기 금속막 두께는 상기 금속막의 표면에 레이져를 인도함으로써 발생되는 초음파를 측정함으로써 결정되는,The metal film thickness is determined by measuring the ultrasonic wave generated by guiding a laser to the surface of the metal film, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 96 항에 있어서,97. The method of claim 96, c)단계에서 상기 금속막의 두께가 너무 얇다고 결정된다면, 상기 웨이퍼를 전기도금하는 단계를 더 포함하는,if it is determined in step c) that the thickness of the metal film is too thin, further comprising electroplating the wafer, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 전해연마 프로세스에서, 상기 척의 회전 속도는 상기 웨이퍼와 상기 웨이퍼의 상기 주요 표면에 평행한 노즐 사이의 선형 이동 거리에 관해서 변화되는,In the electropolishing process, the rotational speed of the chuck is varied with respect to the linear travel distance between the wafer and a nozzle parallel to the major surface of the wafer. 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 전해연마 프로세스에서, 상기 척의 회전 속도는 전해연마 프로세스 액체의 전류 밀도에 관해서 변화되는,In the electropolishing process, the rotational speed of the chuck is varied with respect to the current density of the electropolishing process liquid, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 전해연마 프로세스에서, 상기 척의 회전 속도는 상기 측정된 금속막의 두께, 상기 원하는 두께 프로파일, 및 연마되는 상기 웨이퍼의 위치에 관해서 변화되는,In the electropolishing process, the rotational speed of the chuck is varied with respect to the measured thickness of the metal film, the desired thickness profile, and the position of the wafer being polished. 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 척은 일정한 선형 속도 모드로 회전하는,The chuck rotates in a constant linear velocity mode, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 척은 일정한 회전 모드로 회전하는,The chuck rotates in a constant rotation mode, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 제 91 항에 있어서,92. The method of claim 91 wherein 상기 척은 일정한 원심력 모드로 회전하는,The chuck rotates in a constant centrifugal force mode, 반도체 웨이퍼 상에 금속층을 전해연마 또는 전기도금하는 방법.A method of electropolishing or electroplating a metal layer on a semiconductor wafer. 프로세싱 액체를 수용하기 위한 입구,An inlet for receiving processing liquid, 상기 입구와 연결되며 상기 입구와 복수의 오리피스 사이에 배치되는 채널, 및A channel connected to said inlet and disposed between said inlet and a plurality of orifices, and 필터 요소를 포함하는, 프로세싱 액체를 분사시키기 위한 샤우어 헤드를 포함하며,A shower head for injecting processing liquid, the filter element comprising: 상기 필터 요소가 상기 채널 내에 배치되어, 상기 채널 전체에 걸쳐 상기 입구에 유입되는 프로세싱 액체를 분포시키고 상기 복수의 오리피스로부터 균일하게 유동시키는,Wherein the filter element is disposed within the channel to distribute processing liquid entering the inlet throughout the channel and to flow uniformly from the plurality of orifices, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 106 항에 있어서,107. The method of claim 106, 다수의 채널로서, 하나 이상의 입구가 각각의 채널과 연관되며, 다수의 입구와 다수의 오리피스 사이에 배치되는 다수의 채널과,A plurality of channels, at least one inlet associated with each channel, the plurality of channels disposed between the plurality of inlets and the plurality of orifices, 상기 각각의 채널 전체에 걸쳐 상기 프로세싱 유체를 분포시키기 위한 다수의 필터 요소를 더 포함하는,Further comprising a plurality of filter elements for distributing said processing fluid across said each channel, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 106 항에 있어서,107. The method of claim 106, 상기 필터 요소는 상기 입구와 대향해서 배치되는,The filter element is disposed opposite the inlet; 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 106 항에 있어서,107. The method of claim 106, 상기 필터 요소는 상기 입구와 대향해서 배치되는 블로커 플레이트인,The filter element is a blocker plate disposed opposite the inlet; 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 106 항에 있어서,107. The method of claim 106, 상기 샤우어 헤드는 300 mm 웨이퍼 또는 200 mm 웨이퍼를 위해 구성되는,The shower head is configured for 300 mm wafers or 200 mm wafers, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 106 항에 있어서,107. The method of claim 106, 상기 복수의 오리피스와 인접해서 그리고 상기 채널의 외부에 배치되는 전극 링을 더 포함하는,Further comprising an electrode ring disposed adjacent the plurality of orifices and external to the channel, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 111 항에 있어서,112. The method of claim 111, wherein 상기 전극 링은 방식성 금속 또는 합금을 포함하는,The electrode ring comprises an anticorrosive metal or alloy, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 111 항에 있어서,112. The method of claim 111, wherein 상기 샤우어 헤드 전극 링 위에 위치하는 다수의 노즐 개구를 갖춘 노즐 헤드를 더 포함하는,Further comprising a nozzle head having a plurality of nozzle openings positioned above the shower head electrode ring, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 제 112 항에 있어서,112. The method of claim 112, 상기 다수의 노즐 개구는 상기 다수의 오리피스에 대해 오프셋되어 있는,The plurality of nozzle openings are offset relative to the plurality of orifices, 웨이퍼를 전기도금하는 장치.Device for electroplating wafers. 프로세싱 액체를 분사하기 위한 복수의 개구를 포함하는 채널 안으로 입구를통해 상기 프로세싱 액체를 수용하는 단계와, 그리고Receiving the processing liquid through an inlet into a channel comprising a plurality of openings for injecting the processing liquid, and 상기 복수의 오리피스를 통해 균일하게 통과하도록 상기 채널 전체에 걸쳐 상기 입구를 통해 수용된 상기 프로세싱 액체를 분포시키는 단계를 포함하는,Distributing the processing liquid received through the inlet throughout the channel to uniformly pass through the plurality of orifices; 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 115 항에 있어서,116. The method of claim 115, 하나 이상의 입구가 각각의 채널과 연관되어 있으면서 다수의 입구와 다수의 오리피스 사이에 배치된 다수의 채널에 프로세스 액체를 수용하는 단계와, 그리고Receiving process liquid in a plurality of channels disposed between the plurality of inlets and the plurality of orifices with at least one inlet associated with each channel; and 수용된 프로세스 액체를 상기 각각의 채널 전체에 걸쳐 분포시키는 단계를 더 포함하는,Further comprising distributing the received process liquid over each of said channels; 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 115 항에 있어서,116. The method of claim 115, 상기 프로세스 액체는 전해액인,The process liquid is an electrolyte, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 115 항에 있어서,116. The method of claim 115, 상기 프로세스 액체는 상기 입구와 대향하여 배치된 필터 요소에 의해 분포되는,The process liquid is distributed by a filter element disposed opposite the inlet; 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 118 항에 있어서,119. The method of claim 118 wherein 상기 필터 요소는 블로커 플레이트인,The filter element is a blocker plate, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 115 항에 있어서,116. The method of claim 115, 300 mm 웨이퍼 또는 200 mm 웨이퍼를 전기도금하는 단계를 더 포함하는,Further comprising electroplating a 300 mm wafer or a 200 mm wafer, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 115 항에 있어서,116. The method of claim 115, 상기 다수의 오리피스로부터 상기 프로세스 유체가 분사된 후에 전극 링 위로 상기 프로세스 유체를 통과시키는 단계를 더 포함하는,Passing the process fluid over an electrode ring after the process fluid is ejected from the plurality of orifices; 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 121 항에 있어서,128. The method of claim 121, wherein 상기 전극 링은 방식성 금속 또는 합금인,The electrode ring is an anticorrosive metal or alloy, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 121 항에 있어서,128. The method of claim 121, wherein 다수의 노즐 개구를 포함하는 노즐 헤드를 통해 상기 프로세스 액체를 통과시키는 단계를 더 포함하며, 상기 노즐 헤드는 상기 전극 링 위에 위치하는,Passing the process liquid through a nozzle head including a plurality of nozzle openings, wherein the nozzle head is positioned above the electrode ring, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 123 항에 있어서,126. The method of claim 123, wherein 상기 다수의 오리피스에 대해 상기 다수의 노즐 개구를 오프셋시키는 단계를 더 포함하는,Offsetting the plurality of nozzle openings with respect to the plurality of orifices, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 제 123 항에 있어서,126. The method of claim 123, wherein 상기 프로세스 액체 유동은 상기 필터 요소에 의해 채널 내부에 분포되며, 상기 다수의 오리피스로부터 상기 전극 링을 지나, 그리고 상기 노즐 개구를 통과해서 상기 웨이퍼의 표면으로 균일하게 유동하는,The process liquid flow is distributed within the channel by the filter element and flows uniformly from the plurality of orifices through the electrode ring and through the nozzle opening to the surface of the wafer, 반도체 웨이퍼의 전기도금 방법.Electroplating method of semiconductor wafer. 실질적으로 한 평면내에 위치하는 3 개의 센서와, 그리고Three sensors located substantially in one plane, and 상기 3 개의 센서와 마주하여 웨이퍼를 유지시키도록 구성된 척을 포함하며,A chuck configured to hold a wafer opposite the three sensors, 상기 3 개의 센서는 상기 센서에 대한 상기 웨이퍼 표면의 거리를 측정하도록 구성되어 있는,The three sensors are configured to measure a distance of the wafer surface relative to the sensor, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 제 126 항에 있어서,127. The method of claim 126, wherein 상기 평면은 상기 프로세싱 장치의 일부분과 평행한,The plane is parallel to a portion of the processing apparatus, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 제 126 항에 있어서,127. The method of claim 126, wherein 상기 평면은 프로세싱 노즐과 연관되어 있는,The plane associated with the processing nozzle, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 제 126 항에 있어서,127. The method of claim 126, wherein 상기 센서는 상기 센서와 연결된 신호 라인, 상기 웨이퍼의 표면 상의 금속층, 및 상기 웨이퍼에 연결된 접지 라인과 함께 회로를 완성하는 전도성 핀을 포함하는,The sensor including a conductive line completing the circuit with a signal line connected with the sensor, a metal layer on the surface of the wafer, and a ground line connected with the wafer, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 제 129 항에 있어서,131. The method of claim 129 wherein 상기 회로가 완성되면 발생되는 신호에 근거하여 상기 웨이퍼의 거리 오프셋을 측정하는 제어 시스템을 더 포함하는,And a control system for measuring a distance offset of the wafer based on a signal generated when the circuit is completed, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 제 130 항에 있어서,131. The method of claim 130, 상기 제어 시스템은 상기 거리 측정값에 근거하여 상기 척을 조절하는,The control system adjusts the chuck based on the distance measurement, 프로세싱 장치 내에서 반도체 웨이퍼를 레벨링하는 장치.An apparatus for leveling semiconductor wafers within a processing apparatus. 웨이퍼의 소정의 정렬 평면을 결정하는 단계와,Determining a predetermined alignment plane of the wafer, 상기 웨이퍼의 소정의 정렬 평면에 대한 3 곳의 위치에서의 상기 웨이퍼의 위치를 결정하는 단계와, 그리고Determining the position of the wafer at three positions relative to a predetermined alignment plane of the wafer, and 상기 결정된 웨이퍼의 위치와 소정의 정렬 평면에 근거하여 상기 웨이퍼를 조정하는 단계를 포함하는,Adjusting the wafer based on the determined position of the wafer and a predetermined alignment plane, 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus. 제 132 항에 있어서,133. The method of claim 132, 상기 평면은 상기 프로세싱 장치의 일부분과 평행한,The plane is parallel to a portion of the processing apparatus, 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus. 제 132 항에 있어서,133. The method of claim 132, 상기 평면은 프로세싱 노즐과 연관되어 있는,The plane associated with the processing nozzle, 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus. 제 132 항에 있어서,133. The method of claim 132, 상기 웨이퍼의 위치를 결정하는 단계는 3 개의 센서에 의해 거리를 측정하는단계를 포함하며, 상기 각각의 센서는 상기 센서에 접속된 신호 라인, 상기 웨이퍼의 표면 상의 금속층, 및 상기 웨이퍼의 상기 금속층에 접속된 접지 라인과 함께 회로를 완성하는 전도성 핀을 구비하는,Determining the position of the wafer includes measuring the distance by three sensors, each sensor comprising a signal line connected to the sensor, a metal layer on the surface of the wafer, and the metal layer of the wafer. With a conductive pin that completes the circuit with the connected ground line, 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus. 제 135 항에 있어서,136. The method of claim 135, wherein 상기 회로가 완성되면 발생되는 신호에 근거하여 제어 시스템이 상기 평면으로부터 거리 오프셋을 측정하는,The control system measures the distance offset from the plane based on the signal generated when the circuit is completed, 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus. 제 136 항에 있어서,136. The method of claim 136, 상기 웨이퍼를 조절하는 단계는 상기 거리 측정값에 근거하여 상기 웨이퍼를 유지시키는 척을 이동시키는 단계를 더 포함하는,Adjusting the wafer further includes moving the chuck holding the wafer based on the distance measurement. 프로세싱 장치 내에서 웨이퍼를 레벨링하는 방법.A method of leveling wafers in a processing apparatus.
KR10-2004-7015977A 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods KR20040099407A (en)

Applications Claiming Priority (17)

Application Number Priority Date Filing Date Title
US37092902P 2002-04-08 2002-04-08
US37095502P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37091902P 2002-04-08 2002-04-08
US60/370,929 2002-04-08
US60/370,919 2002-04-08
US60/370,956 2002-04-08
US60/370,955 2002-04-08
US37256602P 2002-04-14 2002-04-14
US37256702P 2002-04-14 2002-04-14
US37254202P 2002-04-14 2002-04-14
US60/372,567 2002-04-14
US60/372,542 2002-04-14
US60/372,566 2002-04-14
US39046002P 2002-06-21 2002-06-21
US60/390,460 2002-06-21
PCT/US2003/010725 WO2003087436A1 (en) 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods

Publications (1)

Publication Number Publication Date
KR20040099407A true KR20040099407A (en) 2004-11-26

Family

ID=29255769

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7015977A KR20040099407A (en) 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods

Country Status (10)

Country Link
US (1) US20050218003A1 (en)
EP (1) EP1492907A4 (en)
JP (5) JP2005522585A (en)
KR (1) KR20040099407A (en)
CN (2) CN100430526C (en)
AU (1) AU2003226319A1 (en)
CA (1) CA2479794A1 (en)
SG (1) SG159384A1 (en)
TW (1) TWI274393B (en)
WO (1) WO2003087436A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200045510A (en) * 2017-08-30 2020-05-04 에이씨엠 리서치 (상하이) 인코포레이티드 Plating device

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (en) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 Wet etching equipment
JP5155517B2 (en) * 2005-04-21 2013-03-06 株式会社荏原製作所 Wafer delivery apparatus and polishing apparatus
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
WO2008019076A2 (en) * 2006-08-04 2008-02-14 E. I. Du Pont De Nemours And Company Substrate carrier enclosure
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US20080107509A1 (en) * 2006-11-07 2008-05-08 Whitcomb Preston X Vacuum end effector for handling highly shaped substrates
JP5537947B2 (en) * 2006-11-27 2014-07-02 テック・セム アーゲー Conveyor for overhead transport system
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8172989B2 (en) * 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
US8751047B2 (en) 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
US9269529B2 (en) 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
JP5454145B2 (en) * 2007-12-27 2014-03-26 日本電気株式会社 Mobile phone terminal
JP5417343B2 (en) 2007-12-27 2014-02-12 ラム リサーチ コーポレーション System and method for calibrating an end effector alignment using at least one light source
US8860955B2 (en) 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (en) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 Electrodeposition system
WO2010028180A2 (en) * 2008-09-04 2010-03-11 Applied Materials, Inc. Adjusting polishing rates by using spectrographic monitoring of a substrate during processing
JP5647148B2 (en) 2009-01-11 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Electrostatic end effector apparatus, system, and method for transporting a substrate
CN101851776B (en) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 Treatment method of silicon chip edge
CN103352246B (en) * 2010-05-19 2015-08-19 易生科技(北京)有限公司 Support burnishing device and method
TWI410532B (en) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd Vertical wafer hole filling electrode plating apparatus
CN102140669B (en) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 Cleaning method after silicon chip electroplating copper
CN102427047B (en) * 2011-09-28 2014-03-12 上海华力微电子有限公司 Wafer back cleaning device and wafer back cleaning method
SG194239A1 (en) * 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
CN102864486A (en) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 Electrolytic polishing device
CN104838480B (en) * 2012-12-10 2018-03-02 盛美半导体设备(上海)有限公司 Polishing wafer method
JP6114060B2 (en) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 Substrate transport apparatus, substrate delivery position confirmation method, and substrate processing system
CN103510149B (en) * 2013-10-14 2015-11-18 陈功 A kind of wet type automatic polishing method with electrolytic polishing liquid and equipment thereof
CN105316754B (en) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 Electrochemical machining process and electrochemical machining apparatus
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
WO2017092029A1 (en) * 2015-12-04 2017-06-08 Acm Research (Shanghai) Inc. Apparatus for holding substrate
CN105780101B (en) * 2016-01-27 2018-06-26 杨继芳 A kind of Novel electrolytic polissoir
FR3049940B1 (en) * 2016-04-06 2018-04-13 Saint- Gobain Glass France SUPPORT DEVICE FOR GLASS SHEET IN PARTICULAR IN A WASHING PLANT
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
JP6756540B2 (en) 2016-08-08 2020-09-16 株式会社荏原製作所 A storage medium containing a plating device, a control method for the plating device, and a program for causing a computer to execute the control method for the plating device.
CN106191983B (en) * 2016-08-12 2018-06-29 厦门大学 A kind of micro fluidic device and its application process for electrochemical etching processing
CN106737805A (en) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 A kind of multi-function robot clamping jaw
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
SG11202001662SA (en) * 2017-09-07 2020-03-30 Acm Res Shanghai Inc Plating chuck
CN109560029B (en) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 Automatic round silicon wafer rewinding mechanism
CN108406568B (en) * 2018-05-21 2023-08-22 浙江工业大学 Device and method for polishing blade edge by using liquid metal polishing solution
CN108453651B (en) * 2018-05-24 2024-05-07 明峰医疗系统股份有限公司 PET detector shaft positioning tool
CN109652850B (en) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 Multi-channel sheet type electroplating device
CN109609996B (en) * 2018-12-12 2020-12-18 东华大学 Combined hanger for batch hard chromium plating of groove needles of warp knitting machine
CN109712923B (en) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 Wafer circulating device and wafer circulating method
CN109676274B (en) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 Pressure release mechanism and vacuum adsorption and dust collection device with same
CN109759957A (en) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 The circulating feeding liquid device and feed liquid method of polishing fluid in ring throwing
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
EP3851916A1 (en) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
JP7422586B2 (en) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
CN111693852B (en) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 Plastic packaging component unsealing method and unsealing device
CN114473818B (en) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 Combined adjusting polishing clamp for false tooth
CN114990549B (en) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 Surface roughening treatment device and method for automobile stamping die casting

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
EP0456426B1 (en) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
JPH07136885A (en) * 1993-06-30 1995-05-30 Toshiba Corp Vacuum chuck
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
AU3105400A (en) * 1998-11-28 2000-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal
CN1319130C (en) * 1999-12-24 2007-05-30 株式会社荏原制作所 Apparatus for plating semiconductor substrate, method for plating semiconductor substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200045510A (en) * 2017-08-30 2020-05-04 에이씨엠 리서치 (상하이) 인코포레이티드 Plating device

Also Published As

Publication number Publication date
EP1492907A4 (en) 2008-01-09
JP2007051377A (en) 2007-03-01
JP2006319348A (en) 2006-11-24
TWI274393B (en) 2007-02-21
SG159384A1 (en) 2010-03-30
CN100430526C (en) 2008-11-05
JP2006328543A (en) 2006-12-07
TW200402821A (en) 2004-02-16
AU2003226319A1 (en) 2003-10-27
CN1653211A (en) 2005-08-10
EP1492907A1 (en) 2005-01-05
JP2005522585A (en) 2005-07-28
CN101353810B (en) 2012-02-15
WO2003087436A1 (en) 2003-10-23
CA2479794A1 (en) 2003-10-23
CN101353810A (en) 2009-01-28
US20050218003A1 (en) 2005-10-06
JP2007077501A (en) 2007-03-29

Similar Documents

Publication Publication Date Title
KR20040099407A (en) Electropolishing and/or electroplating apparatus and methods
KR100780257B1 (en) Polishing method, polishing apparatus, plating method, and plating apparatus
US6056869A (en) Wafer edge deplater for chemical mechanical polishing of substrates
KR100800531B1 (en) Copper-plating liquid, plating method and plating apparatus
US7947157B2 (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
JP4067307B2 (en) Rotation holding device
US7389783B2 (en) Proximity meniscus manifold
KR20050044404A (en) Electropolishing assembly and methods for electropolishing conductive layers
US7727863B1 (en) Sonic irradiation during wafer immersion
IL176467A (en) Edge wheel dry manifold
JP2008013851A (en) Rotary holding apparatus and semiconductor substrate-processing apparatus
US20080003931A1 (en) System and method for in-situ head rinse
US20030209523A1 (en) Planarization by chemical polishing for ULSI applications
JP2004209588A (en) Polishing apparatus and polishing method
US20040009740A1 (en) Method and composition for chemical polishing
Chen et al. Wet Cleaning Equipment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110218

Effective date: 20120229