WO2011112823A2 - Apparatus and methods for cyclical oxidation and etching - Google Patents
Apparatus and methods for cyclical oxidation and etching Download PDFInfo
- Publication number
- WO2011112823A2 WO2011112823A2 PCT/US2011/027922 US2011027922W WO2011112823A2 WO 2011112823 A2 WO2011112823 A2 WO 2011112823A2 US 2011027922 W US2011027922 W US 2011027922W WO 2011112823 A2 WO2011112823 A2 WO 2011112823A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- chamber
- plasma
- substrate
- gas
- temperature
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
Definitions
- Figure 13B depicts an exemplary embodiment of substrate support cooling system that can be used in chambers according to several embodiments.
- Figure 14 depicts a second exemplary modified plasma process chamber in accordance with some embodiments of the present invention.
- Figure 22 depicts a gas distribution plate used in the chamber of Figure 21.
- chambers or tools are provided that enable rapid heating and cooling of substrates in a single chamber, thus allowing cyclic oxidation and/or nitridation and etching processes to be performed.
- the process chambers disclosed herein can perform a single cycle of oxidation and etching as described herein in less than 5 minutes, less than 4 minutes, less than 3 minutes, less than 2 minutes, less than 1 minute, or less than 30 seconds.
- Figure 2 depicts a method 200 of fabricating a semiconductor device having a floating gate geometry in accordance with some embodiments of the present invention.
- the methods described herein may be performed in any suitable single chamber configured for oxidation and etching with the ability to process at disparate temperatures.
- the oxidation is performed at relatively high temperatures, and etching is performed at relatively low temperatures.
- oxidation may be performed at temperatures of 500° C and above according to one or more embodiments, and alternatively, at temperatures of 500° C and below, more particularly 400° C and below.
- portions of the etch process may be performed at low temperatures, for example, room temperature, such as 20° C, 25° C or 30° C. It will be understood that the etching process may be performed at higher temperatures such as up to about 75° C. After etching, it may be desirable to raise the temperature to about 100° C to sublimate compounds, which is described in more detail below.
- the oxide layer 306 may be formed using wet or dry oxidation, rapid thermal oxidation (RTO), radical oxidation, plasma oxidation, for example, decoupled plasma oxidation (DPO), or any other oxidation process described herein.
- RTO rapid thermal oxidation
- DPO decoupled plasma oxidation
- a low thermal budget may be required to prevent thickening of the tunnel oxide layer 104 during the oxidation of the material layer 304.
- a low thermal budget means a thermal budget less than a furnace process of tens of minutes at 850 degrees Celsius peak temperature.
- the method 200 Upon completion of the etch process to form a floating gate having an inverted T shape, the method 200 generally ends. Further processing of the memory device may include the deposition of an IPD layer and a control gate layer, similar to those layers described with respect to Figure 1.
- the region between adjacent material layers 304 and above the STI region 302 is filled with a gap fill material, for example, Si0 2 or the same material that comprises the STI region 302.
- the top of this filled region can be planarized by chemical mechanical planarization (CMP), or any suitable planarization method, to be substantially even with the top of the material layer 304.
- CMP chemical mechanical planarization
- the gap fill and CMP are followed by an etch of the gap fill material to set a desired penetration depth for the IPD between the adjacent material layers 204, prior to deposition of the IPD layer.
- the oxynitride layer 504 may consume a portion of the nitride layer 502 on the horizontal surface of the material layer 304 and STI region 302, and may consume substantially the entire nitride layer 502 on the sidewall of the material layer 304.
- the increased thickness of the nitride layer 502 on the horizontal surfaces may limit or prevent oxidation of those underlying surfaces.
- the oxidation process may consume a portion of the material layer 304. The oxidation of the sidewalls of the material layer may proceed more quickly than on the horizontal surfaces due to the remaining unconsumed nitride layer 502 disposed on those surfaces.
- a high thermal budget may be required to achieve the necessary oxide layer thickness to form the desired dimensions of the floating gate.
- oxygen (0 2 ) can undesirably cause oxygen (0 2 ) to diffuse into exposed oxide layers (such as the tunnel oxide layer 104), causing the oxide layer to undesirably thicken.
- An isotherm 1010 which schematically illustrates the cyclical oxidation and removal process is shown in Figure 9. As illustrated, the isotherm 1010 deviates substantially from the isotherm 1000 (representative of a continuous oxidation process) after the first period 1002.
- the isotherm 1010 is depicted as linear in Figure 10, however, that is merely illustrative.
- the isotherm 1010 can have any shape based on how the cyclical oxidation and removal process is applied. For example, if each repeat oxidation process is for the same period of time (e.g., the first period 1002), then the isotherm 1010 can have a shape which repeats the shape of the isotherm 1010 during the first period 1002 at each successive step.
- the structure 1200 after trimming the material layer 1202 using the cyclical oxidation and removal process may be further processed.
- the material layer 1202 may be utilized as a fin in a FinFET device and a gate layer and source/drain regions may be deposited.
- the trimmed material layer 1202 may itself be utilized to define the critical dimension of a hard mask to be formed from the substrate 1204.
- the inventive methods may be advantageously utilized for the reduction of line-edge roughness and surface roughness created by lithography and fin etch. The reduction of roughness and variation on FinFET channel shape and sidewall surface may improve device and system performance by reducing noise and variability.
- the heating source 1308 may be any suitable heating source to heat the substrate and/or to form an oxidizing or etching chemistry from a gas provided by the gas source 1304.
- the heating source may include one or more lamps configured to heat the substrate or gases provided by the gas source.
- the heating source may include a heater, such as a resistive heater or the like, which may for example be disposed in the substrate support 1302 or a gas showerhead for providing the process gases to the process chamber.
- the system controller 1310 enables data collection and feedback from the respective systems such as gas source 1304, plasma source 1306, and heating source 1308 to optimize performance of the tool 1300.
- the system controller 1310 generally includes a Central Processing Unit (CPU), a memory, and a support circuit.
- the CPU may be one of any form of a general purpose computer processor that can be used in an industrial setting.
- the support circuit is conventionally coupled to the CPU and may comprise a cache, clock circuits, input/output subsystems, power supplies, and the like.
- Software routines such as one for performing a method of forming an floating gate as described above, when executed by the CPU, transform the CPU into a specific purpose computer (controller) 1310.
- the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the tool 1300. Specific single chamber apparatus for performing processes described above in accordance with one or more embodiments will now be described.
- FIG. 13 depicts an illustrative plasma reactor 1400 suitable for carrying out a cyclical oxide formation and removal processes in accordance with embodiments of the present invention.
- the plasma reactor 1400 may be employed to carry out oxidation processes in accordance with embodiments of the present invention to oxide layers described above.
- a plasma may be generated from the process gases within the plasma process chamber 1400 to form an oxide layer.
- the plasma is formed in the ion generation region 1440 of the chamber 1410 via inductive coupling of RF energy from the coil antenna 1416 disposed over the ceiling 1414, providing a low ion energy (e.g., less than about 5 eV for pulsed plasmas and less than 15 eV for CW plasmas).
- a substrate temperature that is deviating above the desired temperature would require increasing the backside gas pressure to increase thermal conductivity to the cooled support pedestal 1424 and bring down the substrate temperature.
- the converse is true in the case of a substrate temperature deviating below the desired temperature.
- the substrate temperature can thus be controlled and set to new temperatures virtually instantly within a temperature range whose lower limit corresponds to the chilled temperature of the support pedestal 1424 and whose upper limit is determined by the RF heat load on the substrate.
- the substrate temperature cannot be increased in the absence of an RF heat load and the substrate temperature cannot be cooled below the temperature of the support pedestal 1424. If this temperature range is sufficient, then any conventional technique may be used to maintain the support pedestal 1424 at a desired chilled temperature to facilitate the agile temperature feedback control loop governing the backside gas pressure.
- the remaining elements of the feedback control system 1454 are external of the support pedestal 1454, and include an accumulator 1465, a compressor 1466 (for pumping cooling medium through the loop), and (for the cooling mode of operation) a condenser 1467 and an expansion valve 1468 having a variable orifice size.
- the feedback control system 1454 i.e., the heat exchanger 1462, the accumulator 1465, the compressor 1466, the condenser 1467, the expansion valve 1468 and the conduits coupling them together, contain the cooling medium (which functions as a refrigerant or coolant when the system operates in the cooling mode) of a conventional type and can have low electrical conductivity to avoid interfering with the RF characteristics of the reactor.
- the accumulator 1465 prevents any liquid form of the cooling medium from reaching the compressor 1466 by storing the liquid. This liquid is converted to vapor by appropriately operating a bypass valve 1469.
- the workpiece temperature response of the temperature feedback loop is comparatively instantaneous.
- the range over which the agile feedback loop can change the workpiece temperature is quite limited: the highest workpiece temperature that can be attained is limited by the RF heat load on the wafer, while the lowest temperature cannot be below the current temperature of the support pedestal.
- the advantages of each one compensate for the limitations of the other, because their combination provides a large workpiece temperature range and a very fast response.
- embodiments of the present invention may be performed in different chambers than the decoupled plasma oxidation chamber described above with respect to Figures 13A and 13B.
- Two additional exemplary plasma reactors suitable for cyclical oxidation and etching include a modified rapid and/or remote plasma oxidation (RPO) reactor, illustrated in FIG. 14, and a modified toroidal source plasma immersion ion implantation reactor, such as P3I, illustrated in FIG. 15. Each of these reactors are available from Applied Materials, Inc. of Santa Clara, Calif.
- Another gas inlet 1530 is formed through side wall 1508 through which a plasma of a process gas may be injected into the process chamber. Coupled to gas inlet 1530 is applicator 1502 to inject radicals of the plasma into the process chamber.
- FIG. 14 illustrates an embodiment wherein plasma applicator 1502 is remote from RTP apparatus 1500 in that the plasma is generated outside chamber 1506 of RTP apparatus 1500.
- a plasma source can be selectively generated to limit the composition of the plasma exposed to wafer or substrate 1520 to predominantly radicals.
- a plasma of ions, radicals, and electrons is generated in plasma applicator 1502.
- all or the majority of ions generated by the excitation of the process gas to form a plasma outlive their ionic lifetime and become charge neutral.
- the composition of the plasma that is supplied to the gas inlet of RTP apparatus 1500 is predominantly radicals.
- Plasma applicator 1502 includes a body 1503 of, for example, aluminum or stainless.
- Body 1503 surrounds a tube 1505.
- the tube 1505 is, for example, made of quartz or sapphire.
- the tube 1505 preferably does not have any electrical bias present that might attract charged particles, e.g., ions.
- One end of body 1503 includes gas inlet 1544.
- a flow controller 1554 is connected to valve 1550 to switch the valve between its different positions, depending upon which process is to be carried out.
- the flow controller can function as a mass flow controller and be coupled between source gas 1546 and gas inlet 1544 to regulate the flow of gas to plasma applicator 1502.
- the flow controller 1554 also functions in a similar fashion to control valves 1550 and 1551 to provide an appropriate process gas flow from gas source 1546 or 1552 to the process chamber.
- Energy source inlet 1566 allows the introduction into tube 1505 of excitation energy, such as an energy having a microwave frequency, from energy source 1504. In the case of a microwave frequency, the excitation energy moves into body 1503 of plasma applicator 1502 and through tube 1505 to excite the gas source traveling in a direction perpendicular to energy source inlet 1564 into a plasma.
- excitation energy such as an energy having a microwave frequency
- each conduit 1626, 1628 is surrounded by an annular magnetic core 1634.
- An excitation coil 1636 surrounding the core 1634 is coupled to an RF power source 1638 through an impedance match device 1640.
- the two RF power sources 1638 coupled to respective ones of the cores 1636 may be of two slightly different frequencies.
- the RF power coupled from the RF power generators 1638 produces plasma ion currents in closed toroidal paths extending through the respective conduit 1626, 1628 and through the process region 1624. These ion currents oscillate at the frequency of the respective RF power source 1626, 1628.
- Bias power is applied to the wafer support pedestal 1608 by a bias power generator 1642 through an impedance match circuit 1644.
- exemplary conditions during oxidation are pressures in the range of about 1 milli Torr to about 10 Torr, power in the range about 1 to 5000 Watts, more specifically in the range of about 1 to 3000 Watts and temperatures in the range of about 0° C to about 800° C, more specifically in the range of about 0° C to about 500° C.
- the system controller is also in communication with the heating source, whether the source is a heated showerhead, a resistive heater, a lamp source or a laser source of the type described below with respect to Figures 16 and 17. Additionally, the system controller may be in operative communication with cooling systems that cool the chamber walls, the substrate support or other localized cooling sources in the chamber.
- a system controller generally includes a Central Processing Unit (CPU), a memory, and a support circuit.
- the CPU may be one of any form of a general purpose computer processor that can be used in an industrial setting.
- the support circuit is conventionally coupled to the CPU and may comprise a cache, clock circuits, input/output subsystems, power supplies, and the like.
- First optics 1730 focus and shape the beam 1728 and a reflector 1732 redirects the beam 1728 towards the wafer 1720 in a relatively wide activating beam 1734, also illustrated in the plan view of FIG. 17.
- the activating beam 1734 may be inclined at some angle, for example, of 15 degrees with respect to the wafer normal to prevent reflection back to the GaAs laser 1726. Such reflected radiation may shorten the lifetime of diode lasers.
- a long- wavelength laser 1740 for example, a C0 2 laser, emits an infrared continuous wave (CW) beam 1742 at a wavelength longer than the silicon bandgap wavelength of 1.11 ⁇ . In a specific embodiment, the C0 2 laser emits at a wavelength near 10.6 ⁇ .
- the dual wavelengths produce the result that more infrared absorption is concentrated in the surface region in which the visible radiation is absorbed.
- the depth of the surface region is less than the absorption length of C0 2 radiation by itself.
- the room-temperature attenuation depth of visible radiation in silicon rapidly decreases in the visible spectrum with decreasing wavelength, for example, an absorption depth of about 10 ⁇ for 800 nm radiation, 3 ⁇ for 600 nm radiation and about 1 ⁇ for 500 nm.
- the shorter activation wavelengths are advantageous for generating free carriers only very near the wafer surface to confine the heating to near the surface.
- an even shorter activating wavelength is desired, such as 532 nm radiation from a frequency-doubled Nd:YAG laser, which can be characterized as green.
- the light source system above does not necessarily have to include a dual light source, and in some embodiments, a single light source can be used. If a light source system is used to heat a material layer on a substrate in accordance with one or more embodiments, the light source system can be in communication with a system controller of any of the chambers described above or below in this specification, and the heating of the material surface can be controlled by the system controller which can control a variety of process parameters to the light source, for example power to the light source and duration of exposure of a material layer to the light.
- a modified dry etching chamber can be utilized to perform cyclical oxidation and etching of an oxide material surface.
- An exemplary chamber is a SICONITM available from Applied Materials and will be described below with respect to Figures 18-20.
- the support assembly 1820 can include an edge ring 1821 disposed about the support member 1822.
- the edge ring 1821 is an annular member that is adapted to cover an outer perimeter of the support member 1822 and protect the support member 1822.
- the edge ring 1821 can be positioned on or adjacent the support member 1822 to form an annular purge gas channel 1833 between the outer diameter of support member 1822 and the inner diameter of the edge ring 1821.
- the annular purge gas channel 1833 can be in fluid communication with a purge gas conduit 1834 formed through the support member 1822 and the shaft 1826.
- the purge gas conduit 1834 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to the purge gas channel 1833.
- the support member 1822 can be elevated to a close proximity of the lid assembly 1840 to control the temperature of the substrate being processed.
- the substrate can be heated via radiation emitted from the distribution plate 1858 that is controlled by the heating element 1860.
- the substrate can be lifted off the support member 1822 to close proximity of the heated lid assembly 1840 using the lift pins 1830 activated by the lift ring 1828.
- the lift mechanism 1831 can elevate the support member 1822 toward a lower surface of the distribution plate 1858.
- the substrate is secured to the support member 1822, such as by a vacuum chuck or an electrostatic chuck.
- the substrate can be lifted off the support member 1822 and placed in close proximity to the heated distribution plate 1858 by elevating the lift pins 1830 via the lift ring 1828.
- a step of oxidizing a surface of a material layer to form an oxide layer will be performed prior to removing a portion of the oxide layer or film from the material layer.
- the oxide layer is formed in the chamber 1800. In other embodiments, the oxide layer may be formed in a load-locked region (not shown) outside the slit valve opening 1811.
- the chamber 2100 includes a reflector plate 2200 incorporating gas distribution outlets (described in more detail below) to distribute gas evenly over a substrate to allow rapid and controlled heating and cooling of the substrate.
- the plate 2200 can be heated and or cooled to facilitate oxidation and/or etching as described above.
- the chamber 2100 further includes a remote plasma source 2192 for delivering a plasma into the chamber, which may be delivered into the chamber by distribution lance 2194.
- the lance 2194 may be a generally elongate conduit with one or more outlets for evenly distributing plasma products into the chamber 2100. Multiple lances 2194 may be used to inject at multiple radial locations in the chamber 2100. In one or more embodiments, the lance(s) 2194 are moveable such that they can be selectively moved in and out of the space between the substrate 2140 and the plate 2200.
- the modified chamber can further include an oxidizing gas supply to provide an oxidizing gas, for example, 0 2 , N 2 0, NO, and combinations thereof in fluid communication with an auxiliary gas inlet 1892 into the chamber 1800 as shown in Figure 18.
- An oxidizing gas supply 2196 can be in fluid communication with an auxiliary gas inlet into the chamber.
- An etching gas supply 2198 can supply an etching gas such as CF 4 , CHF 3 , SF 6 , NH 3 , NF 3 , He, Ar, etc to the chamber 2100 by a reducing gas inlet.
- Other gas supplies can include inert gas supplies and inlets (not shown) to deliver inert gases such as helium, argon, a reducing gas such as hydrogen and others.
- Flow of each of these gases can be regulated by mass or volume flow controllers in communication with the system controller 2124. While the gas supplies 2196 and 2198 are shown as being in fluid communication through the side of the chamber 2100, it may be desirable to introduce the gases to a conduit in fluid communication with a showerhead, a lance or other device for evenly distributing the gases within the chamber 2100. An example of a gas introduction system 2202 is described further below. The gas supplies 2196, 2198 and other gas supplies can be in fluid communication with the gas introduction system 2202.
- the substrate and material surface is maintained at a relatively low temperature, for example, in the range of about 20° C to about 60° C, less than about 50° C, specifically, less than about 45 ° C, less than about 40 ° C, or less than about 35 ° C.
- the temperature is maintained at about 30 ° C +/- about 5 ° C to aid in condensing the etchant and control selectivity of the etching reaction.
- the temperature of the substrate and material surface can be maintained at a low temperature by flowing appropriate cooling gases, for example, helium through the plate 2200. Removal of the film or oxide layer by etching can further include using one or both of the lift pins 2144 and/or the stator assembly 2118 magnetically coupled to the substrate support 2104 to move the substrate being processed closer to the plate 2200.
- the etch process comprises a dry etch process and the etching gas comprises a fluorine-containing gas .
- the first embodiment may include a gas source that further includes a nitrogen gas in communication with a plasma source.
- the etching gas is in fluid communication with the plasma source to form an etching plasma.
- the oxidation process comprises rapid thermal oxidation, radical oxidation, plasma oxidation, chemical oxidation, or photochemical oxidation
- the etching process comprises at least one of wet or dry chemical etch, reactive ion etch, or plasma etch.
- oxidizing the material layer to form the oxide layer is performed by at least one of wet or dry rapid thermal oxidation, radical oxidation, plasma oxidation, wet or dry chemical oxidation, or photochemical oxidation.
- a second embodiment of an apparatus for performing a cyclical oxidation and etching process on a material layer comprises: a processing chamber having a plurality of walls defining a processing region within the processing chamber including a substrate support to hold a substrate having a material layer within the processing region; an oxygen- containing gas supply, an inert gas supply and an etching gas supply in fluid communication with the processing chamber to deliver the oxygen-containing gas, the inert gas and the etching gas into the process chamber; a plasma source to form a plasma in a plasma generation region inside the chamber and at least one of the oxygen-containing gas and etching gas to energize the gas to form at least one of an oxygen plasma, and an etching plasma to contact the material layer; a heating system to heat the substrate within the chamber to a first temperature greater than about 100° C; a cooling system to cool the substrate within the chamber to a second temperature below the first temperature; and a control system to cycle the substrate within the chamber between the first temperature the second temperature.
- a processing chamber having a
- the heating system comprises at least one a light source and a resistive heater.
- resistive heater is disposed within the substrate support.
- the resistive heater is disposed within the showerhead.
- the heating system includes a light source disposed so that light energy emitted by the light source contacts the material surface at an angle of incidence that optimizes absorption by the material being processed. In a specific configuration, the angle of incidence is at a Brewster angle for the material layer being processed.
- the substrate support assembly comprises one or more gas passageways that are in fluid communication with the receiving surface at one end thereof, and a purge gas source or vacuum source at a second end thereof.
- the receiving surface comprises one or more recessed channels formed on an upper surface thereof.
- the apparatus is configured to conduct an oxidation process substantially only by thermal oxidation.
- the apparatus is configured to conduct oxidation by a rapid thermal oxidation process.
- the heating system comprises a rapid thermal processing chamber comprising a radiant heat source and a reflector plate, wherein the substrate support is disposed between the reflector plate and the radiant heat source.
- the remote plasma source is in fluid communication with an etching gas comprising a fluorine-containing gas.
- the chamber includes at least one elongate lance to deliver etching plasma products into the chamber.
- the chamber can include a plurality of elongate lances radially spaced about the chamber to deliver the etching plasma products into the chamber.
- the cooling system comprises a reflector plate incorporating gas distribution outlets to distribute a gas evenly over a substrate to allow rapid and controlled heating and cooling of the substrate.
- the apparatus comprises lift pins adapted to selectively contact and support the substrate to move the substrate towards and away from the reflector plate.
- the apparatus includes a stator assembly coupled to the substrate support to move the substrate being processed towards and away from the plate. The stator assembly can be magnetically coupled to the substrate support.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
Priority Applications (5)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020187004991A KR20180021244A (ko) | 2010-03-10 | 2011-03-10 | 순환적인 산화 및 에칭을 위한 장치 및 방법 |
| KR1020127026537A KR101832475B1 (ko) | 2010-03-10 | 2011-03-10 | 순환적인 산화 및 에칭을 위한 장치 및 방법 |
| KR1020197009737A KR102271735B1 (ko) | 2010-03-10 | 2011-03-10 | 순환적인 산화 및 에칭을 위한 장치 및 방법 |
| JP2012557251A JP5921448B2 (ja) | 2010-03-10 | 2011-03-10 | 周期的な酸化およびエッチングのための装置と方法 |
| CN201180013229.3A CN102792425B (zh) | 2010-03-10 | 2011-03-10 | 循环氧化与蚀刻的设备及方法 |
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US12/720,926 | 2010-03-10 | ||
| US12/720,926 US20110061812A1 (en) | 2009-09-11 | 2010-03-10 | Apparatus and Methods for Cyclical Oxidation and Etching |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| WO2011112823A2 true WO2011112823A2 (en) | 2011-09-15 |
| WO2011112823A3 WO2011112823A3 (en) | 2012-01-05 |
Family
ID=43729314
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| PCT/US2011/027922 Ceased WO2011112823A2 (en) | 2010-03-10 | 2011-03-10 | Apparatus and methods for cyclical oxidation and etching |
Country Status (6)
| Country | Link |
|---|---|
| US (1) | US20110061812A1 (enExample) |
| JP (1) | JP5921448B2 (enExample) |
| KR (3) | KR20180021244A (enExample) |
| CN (2) | CN106024587B (enExample) |
| TW (1) | TWI517240B (enExample) |
| WO (1) | WO2011112823A2 (enExample) |
Cited By (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2016512395A (ja) * | 2013-03-15 | 2016-04-25 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板上の三次元構造の層のnh3含有プラズマ窒化 |
| US10845715B2 (en) | 2016-12-20 | 2020-11-24 | Applied Materials, Inc. | Post exposure processing apparatus |
| US11380586B2 (en) | 2017-07-20 | 2022-07-05 | Iwatani Corporation | Cutting method |
| US11482455B2 (en) | 2017-07-20 | 2022-10-25 | Iwatani Corporation | Cutting method of workpiece by forming reformed region and dry etching process |
| US11584993B2 (en) | 2020-10-19 | 2023-02-21 | Applied Materials, Inc. | Thermally uniform deposition station |
Families Citing this family (166)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP5396180B2 (ja) * | 2009-07-27 | 2014-01-22 | 東京エレクトロン株式会社 | 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体 |
| US20110065276A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
| US8828883B2 (en) * | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
| US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
| WO2012112187A1 (en) * | 2011-02-15 | 2012-08-23 | Applied Materials, Inc. | Method and apparatus for multizone plasma generation |
| US8404048B2 (en) * | 2011-03-11 | 2013-03-26 | Applied Materials, Inc. | Off-angled heating of the underside of a substrate using a lamp assembly |
| US9905443B2 (en) | 2011-03-11 | 2018-02-27 | Applied Materials, Inc. | Reflective deposition rings and substrate processing chambers incorporating same |
| US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
| US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
| US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
| US10049881B2 (en) * | 2011-08-10 | 2018-08-14 | Applied Materials, Inc. | Method and apparatus for selective nitridation process |
| JP6254098B2 (ja) | 2012-02-13 | 2017-12-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板の選択性酸化のための方法および装置 |
| US9530620B2 (en) * | 2013-03-15 | 2016-12-27 | Lam Research Corporation | Dual control modes |
| US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
| US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
| US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
| US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
| US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
| CN103887135B (zh) * | 2012-12-24 | 2016-05-18 | 中国科学院微电子研究所 | 离子注入系统 |
| US8970114B2 (en) * | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
| US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
| US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
| US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
| US9564321B2 (en) * | 2013-03-11 | 2017-02-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cyclic epitaxial deposition and etch processes |
| TWI683382B (zh) * | 2013-03-15 | 2020-01-21 | 應用材料股份有限公司 | 具有光學測量的旋轉氣體分配組件 |
| US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| CN104276764B (zh) * | 2013-07-11 | 2017-03-22 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 玻璃衬底的工艺方法 |
| US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
| US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
| JP2015056519A (ja) * | 2013-09-12 | 2015-03-23 | 東京エレクトロン株式会社 | エッチング方法、エッチング装置及び記憶媒体 |
| US8980758B1 (en) * | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
| US20150079799A1 (en) * | 2013-09-17 | 2015-03-19 | Applied Materials, Inc. | Method for stabilizing an interface post etch to minimize queue time issues before next processing step |
| KR101862632B1 (ko) * | 2013-09-25 | 2018-05-31 | 캐논 아네르바 가부시키가이샤 | 자기 저항 효과 소자의 제조 방법 및 제조 시스템 |
| JP6043968B2 (ja) | 2013-10-30 | 2016-12-14 | パナソニックIpマネジメント株式会社 | プラズマ処理方法並びに電子デバイスの製造方法 |
| US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
| US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
| US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
| JP6405958B2 (ja) * | 2013-12-26 | 2018-10-17 | 東京エレクトロン株式会社 | エッチング方法、記憶媒体及びエッチング装置 |
| US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
| US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
| CN106463344B (zh) * | 2014-05-16 | 2019-10-11 | 应用材料公司 | 喷头设计 |
| US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
| US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
| US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
| US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
| US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
| US9753463B2 (en) * | 2014-09-12 | 2017-09-05 | Applied Materials, Inc. | Increasing the gas efficiency for an electrostatic chuck |
| US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
| US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
| US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
| US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
| US11637002B2 (en) * | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
| US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
| US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
| US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
| US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
| US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
| US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
| US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
| US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
| US12281385B2 (en) * | 2015-06-15 | 2025-04-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
| JP6818402B2 (ja) | 2015-07-17 | 2021-01-20 | 株式会社日立ハイテク | プラズマ処理装置 |
| US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
| JP6615544B2 (ja) * | 2015-09-14 | 2019-12-04 | 株式会社東芝 | 流量調整装置及び処理装置 |
| US9543419B1 (en) | 2015-09-18 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
| CN106548936B (zh) * | 2015-09-23 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 一种金属层的刻蚀方法 |
| KR20170043936A (ko) * | 2015-10-14 | 2017-04-24 | 현대자동차주식회사 | 블랭크 가열 장치 |
| US10203604B2 (en) | 2015-11-30 | 2019-02-12 | Applied Materials, Inc. | Method and apparatus for post exposure processing of photoresist wafers |
| CN107248515B (zh) * | 2016-03-24 | 2020-06-16 | 上海新昇半导体科技有限公司 | 真空管闪存结构及其制造方法 |
| CN109075075B (zh) * | 2016-04-05 | 2023-06-06 | Tes股份有限公司 | 硅氧化膜的选择性蚀刻方法 |
| US10325790B2 (en) * | 2016-04-29 | 2019-06-18 | Applied Materials, Inc. | Methods and apparatus for correcting substrate deformity |
| US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
| US9958782B2 (en) * | 2016-06-29 | 2018-05-01 | Applied Materials, Inc. | Apparatus for post exposure bake |
| US10872760B2 (en) * | 2016-07-26 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cluster tool and manufacuturing method of semiconductor structure using the same |
| US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
| US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
| US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
| US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
| US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
| US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
| US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
| US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
| US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
| US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
| US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
| US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
| US10224212B2 (en) * | 2017-01-27 | 2019-03-05 | Lam Research Corporation | Isotropic etching of film with atomic layer control |
| US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
| US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
| US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
| US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
| US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
| TWI729121B (zh) * | 2017-05-01 | 2021-06-01 | 聯華電子股份有限公司 | 用於快速加熱製程的方法與反應腔室 |
| US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
| JP7176860B6 (ja) | 2017-05-17 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 前駆体の流れを改善する半導体処理チャンバ |
| US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
| US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
| US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
| US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
| US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
| US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
| US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
| US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
| US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
| US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
| US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
| US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
| US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
| US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
| US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
| US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
| TWI872604B (zh) * | 2018-01-24 | 2025-02-11 | 美商應用材料股份有限公司 | 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統 |
| KR102024568B1 (ko) * | 2018-02-13 | 2019-09-24 | 한국기초과학지원연구원 | 환형 면방전 플라즈마 장치를 이용한 점상 식각 모듈 및 점상 식각 모듈의 식각 프로파일을 제어하는 방법 |
| US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
| US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
| TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
| US10612151B2 (en) * | 2018-02-28 | 2020-04-07 | Lam Research Corporation | Flow assisted dynamic seal for high-convection, continuous-rotation plating |
| US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
| US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
| US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
| US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
| US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
| US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
| CN110391120B (zh) * | 2018-04-17 | 2022-02-22 | 北京北方华创微电子装备有限公司 | 一种喷头和等离子体处理腔室 |
| US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
| JP7204348B2 (ja) * | 2018-06-08 | 2023-01-16 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
| US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
| US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
| US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
| US10892136B2 (en) * | 2018-08-13 | 2021-01-12 | Varian Semiconductor Equipment Associates, Inc. | Ion source thermal gas bushing |
| WO2020035478A1 (en) * | 2018-08-15 | 2020-02-20 | Evatec Ag | Method and apparatus for low particle plasma etching |
| US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
| US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
| US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
| US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
| CN112703588A (zh) * | 2018-09-24 | 2021-04-23 | 应用材料公司 | 用于清洁和表面处理的原子氧和臭氧装置 |
| US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
| US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
| US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
| US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
| US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
| JP7202230B2 (ja) | 2019-03-20 | 2023-01-11 | 株式会社Screenホールディングス | 基板処理方法および基板処理装置 |
| WO2020100338A1 (ja) * | 2019-06-21 | 2020-05-22 | 株式会社日立ハイテク | プラズマ処理方法 |
| JP2021017602A (ja) * | 2019-07-17 | 2021-02-15 | コニカミノルタ株式会社 | 微細構造体の製造方法及び微細構造体の製造装置 |
| US12359313B2 (en) * | 2019-07-31 | 2025-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition apparatus and method of forming metal oxide layer using the same |
| CN120854251A (zh) * | 2020-07-02 | 2025-10-28 | 北京屹唐半导体科技股份有限公司 | 工件的加工 |
| TWI874690B (zh) * | 2020-08-12 | 2025-03-01 | 日商東京威力科創股份有限公司 | 蝕刻方法及電漿蝕刻裝置 |
| KR102501331B1 (ko) * | 2020-09-08 | 2023-02-17 | 세메스 주식회사 | 플라즈마를 이용한 기판 처리 장치 및 방법 |
| CN112371452B (zh) * | 2020-11-04 | 2022-03-18 | 上海华力集成电路制造有限公司 | 半导体制造工艺环境的调风装置 |
| CN114497089B (zh) * | 2020-11-11 | 2025-09-12 | 上海华力微电子有限公司 | 一种通过sti刻蚀工艺改善hdp填充缺陷的方法 |
| CN114639602A (zh) * | 2020-12-15 | 2022-06-17 | 东京毅力科创株式会社 | 蚀刻方法和蚀刻装置 |
| TWI786566B (zh) * | 2021-03-11 | 2022-12-11 | 南亞科技股份有限公司 | 半導體結構製造方法與半導體結構製造系統 |
| KR102752567B1 (ko) * | 2022-12-13 | 2025-01-10 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
| KR20250163668A (ko) * | 2024-05-14 | 2025-11-21 | 피에스케이 주식회사 | 기판 처리 방법, 제조 방법 및 기판 처리 장치 |
Family Cites Families (56)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US8656A (en) * | 1852-01-13 | Loom foe | ||
| US4535228A (en) * | 1982-12-28 | 1985-08-13 | Ushio Denki Kabushiki Kaisha | Heater assembly and a heat-treatment method of semiconductor wafer using the same |
| US4490211A (en) * | 1984-01-24 | 1984-12-25 | International Business Machines Corporation | Laser induced chemical etching of metals with excimer lasers |
| US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
| US5178682A (en) * | 1988-06-21 | 1993-01-12 | Mitsubishi Denki Kabushiki Kaisha | Method for forming a thin layer on a semiconductor substrate and apparatus therefor |
| DE69432383D1 (de) * | 1993-05-27 | 2003-05-08 | Applied Materials Inc | Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase |
| US5653806A (en) * | 1995-03-10 | 1997-08-05 | Advanced Technology Materials, Inc. | Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same |
| US6174451B1 (en) * | 1998-03-27 | 2001-01-16 | Applied Materials, Inc. | Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons |
| US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
| US6432206B1 (en) * | 1999-08-30 | 2002-08-13 | Si Diamond Technology, Inc. | Heating element for use in a hot filament chemical vapor deposition chamber |
| JP4644943B2 (ja) * | 2001-01-23 | 2011-03-09 | 東京エレクトロン株式会社 | 処理装置 |
| KR100756107B1 (ko) * | 2001-02-09 | 2007-09-05 | 동경 엘렉트론 주식회사 | 성막 장치 |
| US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
| WO2003021659A1 (en) * | 2001-09-04 | 2003-03-13 | Applied Materials, Inc. | Methods and apparatus for etching metal layers on substrates |
| KR100431657B1 (ko) * | 2001-09-25 | 2004-05-17 | 삼성전자주식회사 | 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치 |
| US6800833B2 (en) * | 2002-03-29 | 2004-10-05 | Mariusch Gregor | Electromagnetically levitated substrate support |
| JP3586678B2 (ja) * | 2002-04-12 | 2004-11-10 | エルピーダメモリ株式会社 | エッチング方法 |
| US7077973B2 (en) * | 2003-04-18 | 2006-07-18 | Applied Materials, Inc. | Methods for substrate orientation |
| US20040224524A1 (en) * | 2003-05-09 | 2004-11-11 | Applied Materials, Inc. | Maintaining the dimensions of features being etched on a lithographic mask |
| US20050014383A1 (en) * | 2003-07-15 | 2005-01-20 | Bing Ji | Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas |
| US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
| JP4513329B2 (ja) * | 2004-01-16 | 2010-07-28 | 東京エレクトロン株式会社 | 処理装置 |
| US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
| JP4228975B2 (ja) * | 2004-04-15 | 2009-02-25 | 株式会社デンソー | 積層型ガスセンサ素子 |
| KR100584485B1 (ko) * | 2004-07-20 | 2006-05-29 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 부식 방지 방법 |
| JP4701691B2 (ja) * | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
| KR100628888B1 (ko) * | 2004-12-27 | 2006-09-26 | 삼성전자주식회사 | 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치 |
| US20060264054A1 (en) * | 2005-04-06 | 2006-11-23 | Gutsche Martin U | Method for etching a trench in a semiconductor substrate |
| US7279721B2 (en) * | 2005-04-13 | 2007-10-09 | Applied Materials, Inc. | Dual wavelength thermal flux laser anneal |
| KR20070000847A (ko) * | 2005-06-28 | 2007-01-03 | 엘지.필립스 엘시디 주식회사 | 이동식 냉매충진장치 및 이를 이용하는 기판제조장비의냉각방법 |
| US8034180B2 (en) * | 2005-10-11 | 2011-10-11 | Applied Materials, Inc. | Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor |
| US20070163995A1 (en) * | 2006-01-17 | 2007-07-19 | Tokyo Electron Limited | Plasma processing method, apparatus and storage medium |
| US7494545B2 (en) * | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
| US20070224838A1 (en) * | 2006-03-27 | 2007-09-27 | Honeywell International Inc. | Method of straining a silicon island for mobility improvement |
| JP5239155B2 (ja) * | 2006-06-20 | 2013-07-17 | 信越半導体株式会社 | シリコンウエーハの製造方法 |
| JP5045000B2 (ja) * | 2006-06-20 | 2012-10-10 | 東京エレクトロン株式会社 | 成膜装置、ガス供給装置、成膜方法及び記憶媒体 |
| US7732340B2 (en) * | 2006-08-08 | 2010-06-08 | Tokyo Electron Limited | Method for adjusting a critical dimension in a high aspect ratio feature |
| US7901509B2 (en) * | 2006-09-19 | 2011-03-08 | Momentive Performance Materials Inc. | Heating apparatus with enhanced thermal uniformity and method for making thereof |
| US20080078325A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Processing system containing a hot filament hydrogen radical source for integrated substrate processing |
| US7572734B2 (en) * | 2006-10-27 | 2009-08-11 | Applied Materials, Inc. | Etch depth control for dual damascene fabrication process |
| US7595005B2 (en) * | 2006-12-11 | 2009-09-29 | Tokyo Electron Limited | Method and apparatus for ashing a substrate using carbon dioxide |
| JP5229711B2 (ja) * | 2006-12-25 | 2013-07-03 | 国立大学法人名古屋大学 | パターン形成方法、および半導体装置の製造方法 |
| KR100951559B1 (ko) * | 2007-01-03 | 2010-04-09 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 전극 형성 방법 |
| JP2008244224A (ja) * | 2007-03-28 | 2008-10-09 | Sumitomo Precision Prod Co Ltd | プラズマ処理装置 |
| US8021514B2 (en) * | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
| KR100905278B1 (ko) * | 2007-07-19 | 2009-06-29 | 주식회사 아이피에스 | 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 |
| US7899451B2 (en) * | 2007-07-20 | 2011-03-01 | Jianhong Hu | OWA converged network access architecture and method |
| JP2009043974A (ja) * | 2007-08-09 | 2009-02-26 | Tokyo Electron Ltd | 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体 |
| JP2009088332A (ja) * | 2007-10-01 | 2009-04-23 | Toshiba Corp | 半導体製造装置及び半導体装置の製造方法 |
| US8673080B2 (en) * | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
| US8137467B2 (en) * | 2007-10-16 | 2012-03-20 | Novellus Systems, Inc. | Temperature controlled showerhead |
| JP5710267B2 (ja) * | 2007-12-21 | 2015-04-30 | ラム リサーチ コーポレーションLam Research Corporation | シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング |
| US8871645B2 (en) * | 2008-09-11 | 2014-10-28 | Applied Materials, Inc. | Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof |
| KR101585214B1 (ko) * | 2009-09-03 | 2016-01-13 | 삼성전자주식회사 | 리세스 형성 방법 및 이를 포함하는 반도체 소자의 형성 방법 |
| US20110065276A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
-
2010
- 2010-03-10 US US12/720,926 patent/US20110061812A1/en not_active Abandoned
-
2011
- 2011-03-02 TW TW100106949A patent/TWI517240B/zh not_active IP Right Cessation
- 2011-03-10 JP JP2012557251A patent/JP5921448B2/ja active Active
- 2011-03-10 CN CN201610557179.XA patent/CN106024587B/zh active Active
- 2011-03-10 CN CN201180013229.3A patent/CN102792425B/zh not_active Expired - Fee Related
- 2011-03-10 KR KR1020187004991A patent/KR20180021244A/ko not_active Ceased
- 2011-03-10 WO PCT/US2011/027922 patent/WO2011112823A2/en not_active Ceased
- 2011-03-10 KR KR1020197009737A patent/KR102271735B1/ko active Active
- 2011-03-10 KR KR1020127026537A patent/KR101832475B1/ko active Active
Cited By (10)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2016512395A (ja) * | 2013-03-15 | 2016-04-25 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板上の三次元構造の層のnh3含有プラズマ窒化 |
| US10845715B2 (en) | 2016-12-20 | 2020-11-24 | Applied Materials, Inc. | Post exposure processing apparatus |
| TWI723235B (zh) * | 2016-12-20 | 2021-04-01 | 美商應用材料股份有限公司 | 後期曝光處理設備 |
| US11262662B2 (en) | 2016-12-20 | 2022-03-01 | Applied Materials, Inc. | Post exposure processing apparatus |
| US11380586B2 (en) | 2017-07-20 | 2022-07-05 | Iwatani Corporation | Cutting method |
| US11482455B2 (en) | 2017-07-20 | 2022-10-25 | Iwatani Corporation | Cutting method of workpiece by forming reformed region and dry etching process |
| DE112018003719B4 (de) | 2017-07-20 | 2025-01-02 | Hamamatsu Photonics K.K. | Schneideverfahren |
| DE112018003719B8 (de) | 2017-07-20 | 2025-02-27 | Hamamatsu Photonics K.K. | Schneideverfahren |
| DE112018003720B4 (de) | 2017-07-20 | 2025-03-20 | Hamamatsu Photonics K.K. | Schneideverfahren |
| US11584993B2 (en) | 2020-10-19 | 2023-02-21 | Applied Materials, Inc. | Thermally uniform deposition station |
Also Published As
| Publication number | Publication date |
|---|---|
| KR20190039356A (ko) | 2019-04-10 |
| KR20180021244A (ko) | 2018-02-28 |
| KR20130014552A (ko) | 2013-02-07 |
| KR101832475B1 (ko) | 2018-02-26 |
| TWI517240B (zh) | 2016-01-11 |
| WO2011112823A3 (en) | 2012-01-05 |
| CN106024587B (zh) | 2019-09-03 |
| KR102271735B1 (ko) | 2021-06-30 |
| CN106024587A (zh) | 2016-10-12 |
| TW201203351A (en) | 2012-01-16 |
| CN102792425B (zh) | 2016-08-17 |
| US20110061812A1 (en) | 2011-03-17 |
| JP2013522884A (ja) | 2013-06-13 |
| CN102792425A (zh) | 2012-11-21 |
| JP5921448B2 (ja) | 2016-05-24 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| KR102271735B1 (ko) | 순환적인 산화 및 에칭을 위한 장치 및 방법 | |
| KR101773373B1 (ko) | 순환적인 산화 및 에칭을 위한 장치 및 방법 | |
| KR101881474B1 (ko) | 순환적인 산화 및 에칭을 위한 장치 및 방법 | |
| TWI557799B (zh) | 用於半導體裝置之氧化的方法 | |
| US20230377958A1 (en) | Cluster processing system for forming a metal containing material | |
| US20150079799A1 (en) | Method for stabilizing an interface post etch to minimize queue time issues before next processing step | |
| US20150064921A1 (en) | Low temperature plasma anneal process for sublimative etch processes |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| WWE | Wipo information: entry into national phase |
Ref document number: 201180013229.3 Country of ref document: CN |
|
| 121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 11754082 Country of ref document: EP Kind code of ref document: A2 |
|
| WWE | Wipo information: entry into national phase |
Ref document number: 2012557251 Country of ref document: JP |
|
| NENP | Non-entry into the national phase |
Ref country code: DE |
|
| ENP | Entry into the national phase |
Ref document number: 20127026537 Country of ref document: KR Kind code of ref document: A |
|
| 122 | Ep: pct application non-entry in european phase |
Ref document number: 11754082 Country of ref document: EP Kind code of ref document: A2 |