WO2006115894A2 - Utilisation de bicouches de metal/nitrure metallique comme electrodes grilles dans des dispositifs cmos auto-alignes mis a l'echelle agressivement - Google Patents

Utilisation de bicouches de metal/nitrure metallique comme electrodes grilles dans des dispositifs cmos auto-alignes mis a l'echelle agressivement Download PDF

Info

Publication number
WO2006115894A2
WO2006115894A2 PCT/US2006/014516 US2006014516W WO2006115894A2 WO 2006115894 A2 WO2006115894 A2 WO 2006115894A2 US 2006014516 W US2006014516 W US 2006014516W WO 2006115894 A2 WO2006115894 A2 WO 2006115894A2
Authority
WO
WIPO (PCT)
Prior art keywords
metal
layer
cmos structure
workfunction
semiconductor substrate
Prior art date
Application number
PCT/US2006/014516
Other languages
English (en)
Other versions
WO2006115894A3 (fr
Inventor
Eduard A. Cartier
Matthew W. Copel
Bruce B. Doris
Rajarao Jammy
Young-Hee Kim
Barry P. Linder
Vijay Narayanan
Vamsi K. Paruchuri
Keith Kwong Hon Wong
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP2008507794A priority Critical patent/JP5089576B2/ja
Priority to CN200680013110.5A priority patent/CN101421839B/zh
Priority to EP06750529.7A priority patent/EP1872407B1/fr
Publication of WO2006115894A2 publication Critical patent/WO2006115894A2/fr
Publication of WO2006115894A3 publication Critical patent/WO2006115894A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Definitions

  • the present invention relates to complementary metal oxide semiconductor (CMOS) structures and methods of forming the same. More particularly, the present invention provides a CMOS structure that includes an nMOS device that contains a low workfunction metal/metal nitride bilayer stack and apMOS device that contains a high workfunction metal/metal nitride bilayer stack, wherein both nMOS and pMOS stacks remain stable during. conventional high temperature self-aligned CMOS processing.
  • CMOS complementary metal oxide semiconductor
  • transistors are the main building blocks of integrated circuits (ICs). Modern ICs interconnect millions of densely configured transistors that perform a wide variety of functions. To achieve this densely packed configuration, the physical dimensions of the transistors are typically scaled down to the sub-micron regime. Generally, the transistors used in the sub-micron regime typically include apolysilicon gate.
  • polysilicon gates may suffer device performance degradation due to a polysilicon depletion effect in which an electric field applied to a polysilicon gate removes carriers (holes in a p-type doped polysilicon, or electrons in an n-type doped polysilicon) so as to create a depletion of carriers in the area of the polysilicon gate near the underlying gate dielectric of the transistor.
  • This depletion effect results in a reduction in the strength of the electric field at the surface of the CMOS device when a voltage is applied to the polysilicon gate electrode, which can have an adverse affect on the transistor performance.
  • One proposed way of improving the performance of sub-micron transistors is to use metal gates in place of conventional polysilicon gates. While replacing traditional polysilicon gates with metal or metal alloy gate electrodes eliminates the polysilicon depletion effect, there are still problems associated with the use of such metal gates. One problem encountered is that the carriers from the metal gate can. diffuse into the underlying gate dielectric material, thus causing shorting of the device.
  • CMOS devices there are generally two different types of gate electrodes, an n-channel gate electrode and ap- channel gate electrode, which have two different workfunction values (i.e., an energy level of a semiconductor which can be near the valence or the conduction band of the material).
  • the workfunction values are typically about 4.1 and 5.2 electron volts (eV) for the n-and p-channel electrodes respectively, and the values are generally formed by doping the polysilicon to be either n- or p-type.
  • Low workfunction metal gates which have a workfunction below the mid-gap range, i.e., less than 4.2 eV, are required for dual metal CMOS to substitute for n+ polysilicon gates that are currently being used in conventional CMOS transistors.
  • high workfunction metal gates which have a workfunction above the mid-gap range, i.e., greater than 4.9 eV, are needed as a substitute for p+ polysilicon gates.
  • Well- known band edge n-f ⁇ eld effect transistor (FET) metal gates including metals such as, for example, Ti, V and Zr
  • FET band edge n-f ⁇ eld effect transistor
  • the present invention relates to a complementary metal oxide semiconductor (CMOS) structure that includes apMOS device and an nMOS device which include material layers that avoid the thermal instability issue of low workfunction elemental metals thus permitting the same to be incorporated into the nMOS device.
  • CMOS structure of the present invention comprises:
  • nMOS device located on one region of said semiconductor substrate
  • At least one pMOS device located on another region of said semiconductor substrate, where said at least one nMOS device includes a gate stack comprising at least a low workfunction elemental metal having a workfunction of less than 4.2 eV and an in-situ metallic capping layer, and said at least one pMOS includes a gate stack comprising at least a high workfunction elemental metal having a workfunction of greater than 4.9 eV and a metallic capping layer.
  • Each of the MOS devices of the present invention also includes a gate dielectric that is located beneath the metal electrode and a polysilicon encapsulation layer that is located atop the metallic capping layer.
  • the dielectric layer may be the same or different insulating material for the nMOS device and the pMOS device.
  • the gate stack of both the nMOS and pMOS device have vertical sidewalls that are aligned.
  • the low workfunetion metal and the metallic capping layer are formed in such a manner that the vacuum between the depositions is not broken.
  • the high workfunetion metal is exposed to an ambient or oxidized prior to the deposition of the metallic capping layer. The purpose of this is to provide sufficient oxygen to the high workfunetion metal to compensate for the oxygen vacancies that are typically present at the interface between a high workfunetion metal and a high k dielectric.
  • the present invention is also related to a semiconductor structure having a controlled interface layer comprising:
  • the interface layer is a Si oxide or oxynitride layer whose thickness is controlled by the thickness of the low workfunetion metal atop the dielectric layer as shown in Table 1 for the specific of Ti, wherein the electrical inversion thickness reduces as the thickness of the Ti layer increases. It is believed that low workfunetion metals, since they are easily oxidized, act as interface oxide "scavenging" layers, thus with increasing thickness of the low workfunetion metal, more oxygen is scavenged from the interface resulting in aggressively scaled devices. Limiting the thickness of the low workfunction metal to ⁇ 3 nm ensures the presence of some interfacial SiO 2 , critical to the channel electron mobility and performance of the device.
  • FIGS. 1 A-IB are pictorial representations (through cross sectional views) illustrating the basic processing steps that are used in the present invention for forming an nMOS (or nFET) device including a bilayer comprising a low workfunction elemental metal and an in-situ metallic capping layer.
  • FIGS. 2A-2B are pictorial representations (through cross sectional views) illustrating the basic processing steps that are used in the present invention for forming a pMOS (or pFET) device including a bilayer comprising a high workfunction elemental metal and a metallic capping layer.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating a structure including both the nMOS device shown in FIG. IB and the pMOS device shown in FIG. 2B.
  • FIG. 4 is a capacitance (pico Farads, pF) vs. gate bias (volts, V) for an aggressively scaled and low threshold voltage device obtained by increasing the thickness of the low workfunction metal.
  • the present invention which provides a CMOS structure including a low workfunction elemental metal/metallic capping bilayer as an nMOS gate electrode and a high workfunction elemental metal/metallic capping bilayer as a pMOS gate electrode and methods of fo ⁇ ing the structure, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present invention are provided for illustrative purposes and thus they are not drawn to scale.
  • the nFET device including the inventive nMOS gate electrode can be completely or partially formed prior to, or after, completely or partially forming the pFET device.
  • a conventional block mask is formed atop a portion of a substrate wherein one of the FET devices (e.g., second device) is to be subsequently formed, and then the other device of opposite conductivity (e.g., first device) is formed in the portion of the substrate not containing the block mask.
  • the block mask is removed and another block mask can be, but not always, formed on the portion of the substrate including the first device.
  • the second device is then partially or completely formed on the portion of the substrate that does not include the first device.
  • the initial block mask can be formed directly on a surface of the substrate, or atop a gate dielectric that is formed atop the substrate.
  • the first instance allows for cases in which the gate dielectric in the first and second FET devices is different, while the second instance allows for cases in which the gate dielectric is the same in both the first and second FET devices.
  • a conventional block mask is formed atop the device region where the appropriate stack is to remain, i.e., the nFET gate stack is deposited on a gate dielectric on the entire wafer, and then a block mask is formed atop the nFET regions of the wafer.
  • this metal gate stack is etched away from the regions where the second FET gate stack is to be deposited.
  • the block mask is removed by wet chemical processes (that are selective to the first FET gate stack) that are well known to those skilled in the art.
  • the second metal FET gate stack is partially or completely formed on the regions from which the first gate stack was etched away and also atop the first gate stack in regions where the first gate stack appropriately remains.
  • the first gate stack is nFET or pFET.
  • nFET of the present invention will be described first, followed by the pFET. No limitations should be read into this order of forming the nFET prior to forming the pFET, since the order of their formation is not critical to the present invention.
  • nFET formation begins by first forming the gate stack 12 shown in FIG. IA on the surface of a semiconductor substrate 10; this structure represents only a portion of the substrate in which nFET formation is to occur.
  • the gate stack 12 comprises a gate dielectric 14, a low workfunction metal 16, a metallic capping layer 18, and a polysilicon encapsulation layer 20.
  • the semiconductor substrate 10 of the structure shown in FIG. IA comprises any semiconducting material including, but not limited to: Si, Ge, SiGe, SiC, SiGeC, Ge, GaAs, GaN, InAs, InP and all other III/V or II/VI compound semiconductors.
  • Semiconductor substrate 10 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI), a SiGe-on-insulator (SGOI) or (GOI).
  • SOI silicon-on-insulator
  • SGOI SiGe-on-insulator
  • the semiconductor substrate 10 may be doped, undoped or contain doped and undoped regions therein.
  • the semiconductor substrate 10 may include a single crystal orientation or it may include at least two coplanar surface regions that have different crystal orientations (the latter substrate is referred to in the art as a hybrid substrate).
  • the nFET is typically formed on a (100) crystal surface
  • the pFET is typically formed on a (110) crystal plane.
  • the hybrid substrate can be formed by techniques that are well known in the art.
  • the semiconductor substrate 10 may also include a first doped (n- or p-) region, and a second doped (n- or p-) region.
  • the first doped region and the second doped region may be the same, or they may have different conductivities and/or doping concentrations. These doped regions are known as "wells" and they are formed utilizing conventional ion implantation processes.
  • the isolation region may be a trench isolation region or a field oxide isolation region.
  • the trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of the trench with a trench dielectric may be used in forming the trench isolation region.
  • a liner may be formed in the trench prior to trench fill, a densif ⁇ cation step may be performed after the trench fill and a planarization process may follow the trench fill as well.
  • the field oxide may be formed utilizing a so-called local oxidation of silicon process.
  • the at least one isolation region provides isolation between neighboring gate regions, typically required when the neighboring gates have opposite conductivities, i.e., nFETs and pFETs.
  • the neighboring gate regions can have the same conductivity (i.e., both n- or p-type), or alternatively they can have different conductivities (i.e., one n-type and the other p-type).
  • a gate dielectric 14 is formed on a surface of the structure.
  • the gate dielectric 14 can be formed by a thermal growth process such as, for example, oxidation or oxynitridation.
  • the gate dielectric 14 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • the gate dielectric 14 may also be formed utilizing any combination of the above processes.
  • the gate dielectric 14 is comprised of an oxide, oxynitride, nitride, metal silicate and/or nitrided metal silicate.
  • the gate dielectric 14 can comprise SiO 2 , nitrided SiO 2 , Si 3 N 4 , SiON, a high k insulator or multilayers thereof.
  • high k is used herein to denote an insulator having a dielectric constant of greater than about 4.0, preferably greater than 7.0.
  • the high k gate dielectric employed in the present invention includes, but not limited to: HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , CeO 2 , Y 2 O 3 and mixtures thereof.
  • a highly preferred example of a gate dielectric that is employed in the present invention is SiO 2 or a stack comprising SiO 2 and HfO 2 wherein the hafnium oxide is the upper layer of the dielectric stack and the SiO 2 is an interfacial dielectric layer.
  • the physical thickness of the gate dielectric 14 may vary, but typically, the gate dielectric 14 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical. In one embodiment, it is preferred that the dielectric layer 14 have a thickness of less than 3 nm, and that this thickness affects an underlying interfacial dielectric layer.
  • the thickness of the gate dielectric plays a role in that making it thicker than 3 nm may prevent the interfacial Si oxide scavenging effect of the low workfunction metal atop this dielectric.
  • a metallic stabilization layer (not shown) having a thickness of less than 30 A can be formed atop the dielectric layer 14 prior to deposition of the low workfunction metal.
  • the metallic stabilization layer comprises TiN, TaSiN, TaSi, TaN or HfSi.
  • a low workfunction elemental metal 16 is formed on the surface of the gate dielectric 14.
  • the term "low workfunction" is used throughout the present application to denote an elemental metal having a workfunction of less than 4.2 eV, preferably from about 3.8 to about 4.1 eV. Elemental metals whose workfunction would be characterized as 'low' and thus can be used in forming the nFET of the present invention include a metal selected from Group IIIB, IVB or VB of the Periodic Table of Elements.
  • the low workfunction elemental metal 16 may comprise Sc, Y, La, Ti, Zr, Hf, V, Nb or Ta.
  • the low workfunction metal 16 comprises Ti, Hf, Ta, Nb or V, with Ti being most preferred.
  • the low workfunction elemental metal 16 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, physical vapor deposition (PVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and other like deposition processes.
  • the physical thickness of the low workfunction elemental metal 16 may vary, but typically, the low workfunction elemental metal 16 has a thickness from about 0.5 to about 5 nm, with a thickness from about 0.5 to about 2 nm. being more typical.
  • a metallic capping layer 18 is formed on the surface of the low workfunction elemental metal 16.
  • the metallic capping layer 18 employed for the nFET is an in-situ metallic capping layer since its deposition remains clustered with that of the low workfunction elemental metal 16.
  • the in-situ metallic capping layer 18 comprises a metal nitride or a metal silicon nitride, and provides the functions of (a) protecting the low workfunction elemental metal from the ambient, (b) acts a diffusion barrier to ambient oxygen, and (c) prevents reaction of the low workfunction layer with the polysilicon capping layer.
  • the metal component of the metallic capping layer 18 may comprise a metal from Group IVB or VB of the Periodic Table of Elements.
  • the metallic capping layer 18 may include Ti, Zr, Hf, V, Nb or Ta, with Ti or Ta being highly preferred.
  • the metallic capping layer 18 preferably comprises TiN, TaSiN, TiAlN or TaAlN.
  • the in-situ metallic capping layer 18 is formed by utilizing the same or different deposition process as that of the low workfunction elemental metal 16. The key is not the type of deposition used, but that the deposition of the metallic capping layer 18 remains clustered with that of the low workfunction elemental metal 16. [0036]
  • the physical thickness of the in-situ metallic capping layer 18 may vary, but typically, the metallic capping layer 18 has a thickness from about 5 to about 30 nm, with a thickness from about 5 to about 10 nm being more typical.
  • the present invention allows for the ability to scale the interface and tune the Vt of the device by changing the thickness of the low workfunction metal.
  • the gate stack 12 shown in FIG. IA is completed by forming a polysilicon encapsulation layer 20 on the surface of the metallic capping layer 16.
  • the polysilicon encapsulation layer 20 can be formed utilizing a conventional deposition process such as, for example, CVD (using either silane or disilane as a Si source) or PVD.
  • the thickness of the polysilicon encapsulation layer 20 may vary, but typically, and for illustrative purposes the polysilicon encapsulation layer 20 has a thickness from about 70 to about 100 nm.
  • the patterned gate stack 12' is formed by lithography and etching.
  • the lithographic step includes applying a resist (not shown) to the gate stack 12, exposing the resist to a desired pattern of radiation and developing the exposed resist utilizing a conventional resist developer.
  • the etching step may include one or more dry etching (reactive ion etching, ion beam etching or plasma etching), chemical wet etching, or a combination thereof.
  • the at least one spacer is comprised of an insulator such as an oxide, nitride, oxynitride and/or any combination thereof.
  • the at least one spacer is formed by deposition and etching.
  • the width of the at least one spacer must be sufficiently wide such that the source and drain suicide contacts (to be subsequently formed) do not encroach underneath the edges of the patterned gate stack. Typically, the source/drain suicide does not encroach underneath the edges of the patterned gate stack when the at least one spacer has a width, as measured at the bottom, from about 20 to about 80 run.
  • the patterned gate stack 12' can also be passivated at this point of the present invention by subjecting the same to a thermal oxidation, nitridation or oxynitridation process.
  • the passivation step forms a thin layer of passivating material about the gate stack. This step may be used instead or in conjunction with the previous step of spacer formation. When used with the spacer formation step, spacer formation occurs after the gate stack passivation process.
  • Source/drain diffusion regions are then formed into the substrate.
  • the source/drain diffusion regions which are also not shown in the drawings, are formed utilizing ion implantation and an annealing step.
  • the annealing step serves to activate the dopants that were implanted by the previous implant step.
  • the conditions for the ion implantation and annealing are well known to those skilled in the art.
  • the source/drain diffusion regions may also include extension implant regions which are formed prior to source/drain implantation using a conventional extension implant.
  • the extension implant may be followed by an activation anneal, or alternatively the dopants implanted during the extension implant and the source/drain implant can be activated using the same activation anneal cycle. Halo implants are also contemplated herein.
  • CMOS processing such as formation of suicided contacts (source/drain and gate) as well as formation of BEOL (back-end-of-the-line) interconnect levels with metal interconnects can be formed utilizing processing steps that are well known to those skilled in the art can occur after both FET devices, e.g. nFETs and pFETs, are formed.
  • pFET formation begins by first forming the gate stack 52 shown in FIG. 2 A on the surface of the semiconductor substrate 10; this structure represents only a portion of the substrate in which pFET formation is to occur. As shown, the gate stack 52 comprises a gate dielectric 14, a high workfunction metal 54, a metallic capping layer 19, and a polysilicon encapsulation layer 20.
  • the semiconductor substrate 10 of the structure shown hi FIG. 2 A is the same as described for the embodiment in which the nFET was formed.
  • a gate dielectric 14 which can be comprised of the same or different material as that described in the embodiment for the nFET is then formed on a surface of the structure.
  • the gate dielectric 14 can be formed as described above and its physical thickness is also within the range described above.
  • a highly preferred example of a gate dielectric that is employed in forming the pFET of the present invention is SiO 2 or a stack comprising SiO 2 and HfO 2 wherein the hafnium oxide is the upper layer of the dielectric stack and the SiO 2 is an interfacial dielectric layer.
  • a metallic stabilization layer (not shown) having a thickness of less than 10 A can be formed atop the dielectric layer 14 prior to deposition of the high workfunction metal.
  • the metallic stabilization layer comprises TiN, TaSiN, TaSi, or TaN.
  • a high workfunction elemental metal 54 is formed on the surface of the gate dielectric.
  • the term "high workfunction" is used throughout the present application to denote an elemental metal having a workfunction of greater than 4.9 eV, preferably from about 5.0 to about 5.2 eV. Elemental metals whose workfunction would be characterized as 'high' and thus can be used in forming the pFET of the present invention include a metal selected from Group VIB, VIIB or VIII of the Periodic Table of Elements.
  • the high workfunction elemental metal 54 may comprise Cr, Mo, W, Mn, Tc, Re, Fe, Ru, Os, Co, Rh, Ir, Ni, Pd or Pt.
  • the high workfunction metal 54 comprises one of Re, Ru, W or Ir.
  • the high workfunction elemental metal 54 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, physical vapor deposition (PVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and other like deposition processes.
  • the physical thickness of the high workfunction elemental metal 54 may vary, but typically, the high workfunction elemental metal 54 has a thickness from about 2 to about 20 ran, with a thickness from about 2 to about 10 nm being more typical.
  • a metallic capping layer 19 is formed on the surface of the high workfunction elemental metal 54.
  • the metallic capping layer 19 is unlike the case in nFET formation, since its deposition is not clustered to the deposition of the high workfunction elemental metal 54.
  • the high workfunction elemental metal 54 is either exposed to the ambient or oxidized prior to deposition of the metallic capping layer 19.
  • the reason for not clustering the deposition of the metallic capping layer 19 to the deposition of the high workfunction elemental metal 54 is to provide enough oxygen in the structure to compensate for the oxygen vacancies present at the high workfunction/dielectric interface.
  • This step forms a thin (on the order of about 2 nm or less) surface oxide layer (not shown) on the high workfunction elemental metal 54.
  • the metallic capping layer 19 comprises a metal nitride or a metal silicon nitride.
  • the metal component of the metallic capping layer 19 may comprise a metal from. Group IVB or VB of the Periodic Table of Elements.
  • the metallic capping layer 19 may include Ti, Zr, Hf, V, Nb or Ta 5 with Ti or Ta being highly preferred.
  • the metallic capping layer 19 preferably comprises TiN, TaSiN, TiAlN or TiAlN.
  • the metallic capping layer 19 is formed by utilizing the same or different deposition process as that of the high workfunction elemental metal 54.
  • the key is not the type of deposition used, but that the deposition of the metallic capping layer 19 is not clustered with that of the high workfunction elemental metal 54.
  • the physical thickness of the metallic capping layer 19 may vary, but typically, the metallic capping layer 19 has a thickness from about 5 to about 30 nm, with a thickness from about 5 to about 10 nm being more typical.
  • the gate stack 52 shown in FIG. 2A is completed by forming a polysilicon encapsulation layer 20 on the surface of the metallic capping layer 19.
  • the polysilicon encapsulation layer 20 can be formed as described above and its thickness can also be in the range described above.
  • the structure shown in FIG. 2A is patterned so as to form at least one patterned gate stack 52' on the surface of the semiconductor substrate 10.
  • the patterned gate stack 52' is formed by lithography and etching, as described above.
  • At this point of the present invention or after nFET formation, at least one spacer (not shown) is typically, but not always, formed on exposed sidewalls of each patterned gate stack 52'.
  • the at least one spacer is comprised of an insulator such as an oxide, nitride, oxynitride and/or any combination thereof.
  • the at least one spacer is formed by deposition and etching.
  • the width of the at least one spacer must be sufficiently wide such that the source and drain suicide contacts (to be subsequently formed) do not encroach underneath the edges of the patterned gate stack.
  • the source/drain suicide does not encroach underneath the edges of the patterned gate stack when the at least one spacer has a width, as measured at the bottom, from about 20 to about 80 nm.
  • the patterned gate stack 52' can also be passivated at this point of the present invention by subjecting the same to a thermal oxidation, nitridation or oxynitridation process.
  • the passivation, step forms a thin layer of passivating material about the gate stack. This step may be used instead or in conjunction with the previous step of spacer formation. When used with the spacer formation step, spacer formation occurs after the gate stack passivation process.
  • Source/drain diffusion regions are then formed into the substrate.
  • the source/drain diffusion regions which are also not shown in the drawings, are formed utilizing ion implantation and an annealing step.
  • the annealing step serves to activate the dopants that were implanted by the previous implant step.
  • the conditions for the ion implantation and annealing are well known to those skilled in the art.
  • the source/drain diffusion regions may also include extension implant regions which are formed prior to source/drain implantation using a conventional extension implant.
  • the extension implant may be followed by an activation anneal, or alternatively the dopants implanted during the extension implant and the source/drain implant can be activated using the same activation anneal cycle. Halo implants are also contemplated herein.
  • block masks are used and the pFET device or the nFET device is completely formed and thereafter the block mask is removed and another block mask is formed and the opposite dopant type FET is formed, hi yet another embodiment, a block mask is used up to the formation of the metallic capping layer (18 or 19) of one of the devices, then the block mask is removed and thereafter the other device is formed.
  • the metallic capping layer 18 of the low workfunction elemental metal may include a surface layer of the high workfonction metal, or the metallic capping layer 19 of the high workfunction elemental metal may include a surface layer of the low workfunction metal.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating a structure including both the nFET device shown in FIG. IB and the pFET device shown in FIG. 2B.
  • a trench isolation region 55 separates the pFET from the nFET.
  • reference numeral 57 denotes the source/drain diffusion regions.
  • an nFET comprising Si/SiOa/HfOa/Ti/TiN/PolySi was prepared utilizing the basic processing steps described in the detailed description portion of the present invention.
  • the deposition of the TiN capping layer was clustered to the deposition of the Ti (low workfunction elemental metal).
  • Two gate stacks that were representative of the present invention were prepared; one including 10 A Ti and 150 A TiN, and the other including 20 A Ti and 150 A TiN.
  • an nMOS including TiN and an nMOS comprising polySi and SiON were also prepared.
  • Table 1 illustrates the effectiveness of the inventive nMOS structure after self- aligned MOSFET fabrication.
  • the threshold voltage, Vt, the shift from mid-gap (towards nFET) and the inversion thickness, Tinv, are shown.
  • the Vt and Tinv were measured using conventional techniques well known in the art.
  • the Vt was determined by calculating the current using the 300 nA Width/Length criteria for nFETs in a typical Drain current-Gate Voltage (Id-Vg) sweep and then using this current to calculate the gate voltage from the Id-Vg sweep which is defined as the Vt or threshold voltage of the device, and the Tinv was determined by calculating the Inversion capacitance using the well known Split C-V method and then using the well known relationship between capacitance and thickness to get the inversion thickness of the device.
  • Id-Vg Drain current-Gate Voltage
  • Table 1 illustrates the ability to scale the interface and tune the Vt of the device by changing the thickness of the low workfunction metal.
  • FIG.4 illustrates that by increasing the thickness of the low workfunction layer, one can scavenge the interfacial oxide, i.e., SiO 2 , layer underneath the HfO 2 and thereby provide extremely aggressive scaling.
  • the increasing amounts of Ti also make the Vt more nFET approaching the bulk like workfunction of Ti.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

La présente invention concerne des structures CMOS comprenant au moins un dispositif MOS à canal N situé sur une zone d'un substrat semi-conducteur et au moins un dispositif MOS à canal P situé sur une autre zone du substrat semi-conducteur. Selon la présente invention, le dispositif MOS à canal N comporte un empilement de grille comprenant un diélectrique de grille, un métal élémentaire à faible travail d'extraction présentant un travail d'extraction inférieur à 4,2 eV, une couche de recouvrement métallique in situ et une couche d'encapsulation de polysilicium, et le dispositif MOS à canal P comporte un empilement de grille comprenant un diélectrique de grille, un métal élémentaire à travail d'extraction élevé présentant un travail d'extraction supérieur à 4,9 eV, une couche de recouvrement métallique et une couche d'encapsulation de polysilicium. La présente invention concerne également des procédés de fabrication de cette structure CMOS.
PCT/US2006/014516 2005-04-21 2006-04-18 Utilisation de bicouches de metal/nitrure metallique comme electrodes grilles dans des dispositifs cmos auto-alignes mis a l'echelle agressivement WO2006115894A2 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008507794A JP5089576B2 (ja) 2005-04-21 2006-04-18 自己整合され積極的にスケーリングされたcmosデバイスにおけるゲート電極の金属/金属窒化物二重層のcmos構造体及び半導体構造体
CN200680013110.5A CN101421839B (zh) 2005-04-21 2006-04-18 使用金属/金属氮化物双层结构作为自对准强按比例缩放cmos器件中的栅电极
EP06750529.7A EP1872407B1 (fr) 2005-04-21 2006-04-18 Utilisation de bicouches de metal/nitrure metallique comme electrodes grilles dans des dispositifs cmos auto-alignes mis a l'echelle agressivement

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/111,592 2005-04-21
US11/111,592 US7598545B2 (en) 2005-04-21 2005-04-21 Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices

Publications (2)

Publication Number Publication Date
WO2006115894A2 true WO2006115894A2 (fr) 2006-11-02
WO2006115894A3 WO2006115894A3 (fr) 2008-11-13

Family

ID=37185975

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/014516 WO2006115894A2 (fr) 2005-04-21 2006-04-18 Utilisation de bicouches de metal/nitrure metallique comme electrodes grilles dans des dispositifs cmos auto-alignes mis a l'echelle agressivement

Country Status (6)

Country Link
US (2) US7598545B2 (fr)
EP (1) EP1872407B1 (fr)
JP (1) JP5089576B2 (fr)
CN (1) CN101421839B (fr)
TW (1) TWI378558B (fr)
WO (1) WO2006115894A2 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008282856A (ja) * 2007-05-08 2008-11-20 Toshiba Corp 半導体装置
JP2009164207A (ja) * 2007-12-28 2009-07-23 Toshiba Corp 半導体装置及びその製造方法
JP2010034468A (ja) * 2008-07-31 2010-02-12 Renesas Technology Corp 半導体装置及びその製造方法
US8183641B2 (en) 2008-11-28 2012-05-22 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
CN103137460A (zh) * 2011-11-23 2013-06-05 中国科学院微电子研究所 一种分子尺度界面SiO2的形成和控制方法

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US20070164367A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP5011921B2 (ja) * 2006-09-29 2012-08-29 富士通セミコンダクター株式会社 半導体集積回路装置及びその製造方法
US20080128821A1 (en) * 2006-12-04 2008-06-05 Texas Instruments Incorporated Semiconductor Device Manufactured Using Passivation of Crystal Domain Interfaces in Hybrid Orientation Technology
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
US20090072312A1 (en) * 2007-09-14 2009-03-19 Leland Chang Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
JP2009181978A (ja) * 2008-01-29 2009-08-13 Sony Corp 半導体装置およびその製造方法
US7910418B2 (en) * 2008-01-30 2011-03-22 International Business Machines Corporation Complementary metal gate dense interconnect and method of manufacturing
US8138076B2 (en) * 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
US8592922B2 (en) 2008-06-09 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor device and a method of manufacturing the same
US8129797B2 (en) 2008-06-18 2012-03-06 International Business Machines Corporation Work function engineering for eDRAM MOSFETs
US8202773B2 (en) * 2008-08-29 2012-06-19 Texas Instruments Incorporated Engineered oxygen profile in metal gate electrode and nitrided high-k gate dielectrics structure for high performance PMOS devices
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8207582B2 (en) * 2009-01-05 2012-06-26 Micron Technology, Inc. Semiconductor devices including dual gate structures
JP5275056B2 (ja) * 2009-01-21 2013-08-28 株式会社東芝 半導体装置の製造方法及び半導体装置
US8026539B2 (en) * 2009-02-18 2011-09-27 Globalfoundries Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
JP2010232426A (ja) * 2009-03-27 2010-10-14 Renesas Electronics Corp 半導体装置およびその製造方法
US20100244206A1 (en) * 2009-03-31 2010-09-30 International Business Machines Corporation Method and structure for threshold voltage control and drive current improvement for high-k metal gate transistors
US8076730B2 (en) 2009-06-09 2011-12-13 Infineon Technologies Ag Transistor level routing
US7989902B2 (en) * 2009-06-18 2011-08-02 International Business Machines Corporation Scavenging metal stack for a high-k gate dielectric
US20100327364A1 (en) * 2009-06-29 2010-12-30 Toshiba America Electronic Components, Inc. Semiconductor device with metal gate
JP5355692B2 (ja) * 2009-07-08 2013-11-27 株式会社東芝 半導体装置及びその製造方法
US8173531B2 (en) * 2009-08-04 2012-05-08 International Business Machines Corporation Structure and method to improve threshold voltage of MOSFETS including a high K dielectric
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
US20110101427A1 (en) * 2009-10-30 2011-05-05 Thilo Scheiper Transistor including a high-k metal gate electrode structure formed prior to drain/source regions on the basis of a superior implantation masking effect
CN101840887B (zh) * 2009-12-28 2012-01-25 中国科学院微电子研究所 一种半导体器件及其形成方法
US9472637B2 (en) 2010-01-07 2016-10-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same
JP5721952B2 (ja) * 2010-01-07 2015-05-20 株式会社日立国際電気 半導体装置、半導体装置の製造方法および基板処理装置
JP2011176173A (ja) * 2010-02-25 2011-09-08 Renesas Electronics Corp 半導体装置及びその製造方法
US8435878B2 (en) 2010-04-06 2013-05-07 International Business Machines Corporation Field effect transistor device and fabrication
CN102222616B (zh) * 2010-04-14 2013-04-17 中国科学院微电子研究所 一种半导体器件的制造方法
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8759872B2 (en) * 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
JP5565804B2 (ja) * 2010-07-28 2014-08-06 独立行政法人産業技術総合研究所 ゲートスタック形成方法
JP5652926B2 (ja) * 2011-03-28 2015-01-14 独立行政法人産業技術総合研究所 ゲート絶縁膜の形成方法及び半導体装置の製造方法
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
CN102891111B (zh) * 2011-07-22 2015-01-21 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
US8766379B2 (en) * 2011-09-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
CN103077947A (zh) * 2011-10-26 2013-05-01 中国科学院微电子研究所 具有双金属栅的cmos器件及其制造方法
CN103077969B (zh) * 2011-10-26 2016-03-30 中国科学院微电子研究所 一种mos器件及其制造方法
US8741718B2 (en) 2012-01-17 2014-06-03 International Business Machines Corporation Local interconnects compatible with replacement gate structures
US9059096B2 (en) 2012-01-23 2015-06-16 International Business Machines Corporation Method to form silicide contact in trenches
US20130241007A1 (en) 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
US8790973B2 (en) * 2012-04-12 2014-07-29 Globalfoundries Inc. Workfunction metal stacks for a final metal gate
US8865551B2 (en) 2012-06-28 2014-10-21 International Business Machines Corporation Reducing the inversion oxide thickness of a high-k stack fabricated on high mobility semiconductor material
CN103545191B (zh) * 2012-07-16 2016-06-15 中国科学院微电子研究所 栅极结构的形成方法、半导体器件的形成方法以及半导体器件
CN103681273B (zh) * 2012-09-06 2018-04-27 中芯国际集成电路制造(上海)有限公司 金属栅极制作方法
KR101986144B1 (ko) * 2012-12-28 2019-06-05 에스케이하이닉스 주식회사 고유전층과 금속게이트를 갖는 반도체장치 및 그 제조 방법
FR3011382B1 (fr) * 2013-09-27 2019-03-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un circuit integre
US20150171104A1 (en) * 2013-12-12 2015-06-18 Cypress Semiconductor Corporation Complementary sonos integration into cmos flow
JP6202681B2 (ja) * 2014-03-26 2017-09-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9577062B2 (en) * 2014-10-27 2017-02-21 International Business Machines Corporation Dual metal gate electrode for reducing threshold voltage
JP5944549B2 (ja) * 2015-03-25 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および半導体装置
CN109478567B (zh) 2016-07-15 2022-12-16 罗姆股份有限公司 半导体装置
CN106129005A (zh) * 2016-09-18 2016-11-16 上海华力微电子有限公司 栅极的制备方法
JP6235686B2 (ja) * 2016-11-30 2017-11-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置、及び半導体装置の製造方法
US10297598B2 (en) 2017-01-16 2019-05-21 International Business Machines Corporation Formation of full metal gate to suppress interficial layer growth
CN108630700A (zh) * 2017-03-22 2018-10-09 中芯国际集成电路制造(上海)有限公司 闪存器件及其制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030129793A1 (en) 2002-01-07 2003-07-10 Robert Chau Novel metal-gate electrode for CMOS transistor applications

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62112373A (ja) * 1985-11-12 1987-05-23 Seiko Instr & Electronics Ltd Misトランジスタ−の製造方法
JPH0697166A (ja) * 1992-09-11 1994-04-08 Sony Corp 電極構造およびその製造方法
US6261887B1 (en) * 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2001077355A (ja) * 1999-08-13 2001-03-23 Texas Instr Inc <Ti> トランジスタを形成する方法
JP2001060630A (ja) * 1999-08-23 2001-03-06 Nec Corp 半導体装置の製造方法
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP2001274389A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置およびその製造方法
JP2001298192A (ja) * 2000-04-13 2001-10-26 Seiko Epson Corp 半導体装置およびその製造方法
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
JP2002217313A (ja) * 2000-11-30 2002-08-02 Texas Instruments Inc 金属及び対応する金属珪化物から形成した各ゲートを有する相補形トランジスタ
KR100399356B1 (ko) * 2001-04-11 2003-09-26 삼성전자주식회사 듀얼 게이트를 가지는 씨모스형 반도체 장치 형성 방법
JP4044306B2 (ja) * 2001-07-26 2008-02-06 株式会社東芝 半導体装置及びその製造方法
JP3974507B2 (ja) * 2001-12-27 2007-09-12 株式会社東芝 半導体装置の製造方法
JP2004022690A (ja) * 2002-06-14 2004-01-22 Seiko Epson Corp 半導体装置及びその製造方法
US6689676B1 (en) * 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6849509B2 (en) 2002-12-09 2005-02-01 Intel Corporation Methods of forming a multilayer stack alloy for work function engineering
JP4143505B2 (ja) * 2003-09-03 2008-09-03 株式会社半導体理工学研究センター Mos型半導体装置及びその製造方法
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
KR100502426B1 (ko) * 2003-09-18 2005-07-20 삼성전자주식회사 듀얼 게이트를 갖는 반도체 소자 및 그 형성 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030129793A1 (en) 2002-01-07 2003-07-10 Robert Chau Novel metal-gate electrode for CMOS transistor applications

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008282856A (ja) * 2007-05-08 2008-11-20 Toshiba Corp 半導体装置
US7768076B2 (en) 2007-05-08 2010-08-03 Kabushiki Kaisha Toshiba Semiconductor device comprising an n-channel and p-channel MISFET
JP2009164207A (ja) * 2007-12-28 2009-07-23 Toshiba Corp 半導体装置及びその製造方法
JP2010034468A (ja) * 2008-07-31 2010-02-12 Renesas Technology Corp 半導体装置及びその製造方法
US8183641B2 (en) 2008-11-28 2012-05-22 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
CN103137460A (zh) * 2011-11-23 2013-06-05 中国科学院微电子研究所 一种分子尺度界面SiO2的形成和控制方法
CN103137460B (zh) * 2011-11-23 2016-02-10 中国科学院微电子研究所 一种分子尺度界面SiO2的形成和控制方法

Also Published As

Publication number Publication date
EP1872407A4 (fr) 2011-09-14
JP2008537359A (ja) 2008-09-11
CN101421839B (zh) 2013-07-10
WO2006115894A3 (fr) 2008-11-13
EP1872407B1 (fr) 2013-09-11
JP5089576B2 (ja) 2012-12-05
EP1872407A2 (fr) 2008-01-02
US7999323B2 (en) 2011-08-16
US20060237796A1 (en) 2006-10-26
TWI378558B (en) 2012-12-01
TW200707735A (en) 2007-02-16
US7598545B2 (en) 2009-10-06
CN101421839A (zh) 2009-04-29
US20090302399A1 (en) 2009-12-10

Similar Documents

Publication Publication Date Title
US7598545B2 (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7488656B2 (en) Removal of charged defects from metal oxide-gate stacks
US8865539B2 (en) Fully depleted SOI multiple threshold voltage application
US7141858B2 (en) Dual work function CMOS gate technology based on metal interdiffusion
US7242055B2 (en) Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
JP5305907B2 (ja) 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法
US7229873B2 (en) Process for manufacturing dual work function metal gates in a microelectronics device
US7655994B2 (en) Low threshold voltage semiconductor device with dual threshold voltage control means
US7776701B2 (en) Metal oxynitride as a pFET material
US20070138563A1 (en) Dual metal gate self-aligned integration
US10937648B2 (en) Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS
US8835260B2 (en) Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
EP1836732A2 (fr) Procede de formation de metal hfsin pour des applications n-fet
US20060273410A1 (en) Thermally stable fully silicided Hf silicide metal gate electrode

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680013110.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008507794

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006750529

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: RU