JP5305907B2 - 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法 - Google Patents

応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法 Download PDF

Info

Publication number
JP5305907B2
JP5305907B2 JP2008528129A JP2008528129A JP5305907B2 JP 5305907 B2 JP5305907 B2 JP 5305907B2 JP 2008528129 A JP2008528129 A JP 2008528129A JP 2008528129 A JP2008528129 A JP 2008528129A JP 5305907 B2 JP5305907 B2 JP 5305907B2
Authority
JP
Japan
Prior art keywords
fet
layer
gate
precursor structure
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008528129A
Other languages
English (en)
Other versions
JP2009506549A5 (ja
JP2009506549A (ja
Inventor
ヤン、ヘイニング、エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009506549A publication Critical patent/JP2009506549A/ja
Publication of JP2009506549A5 publication Critical patent/JP2009506549A5/ja
Application granted granted Critical
Publication of JP5305907B2 publication Critical patent/JP5305907B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は、少なくとも1つの高性能電界効果トランジスタ(FET)を含む半導体デバイスに関する。より具体的には、本発明は、応力が加えられたゲート金属シリサイド層を含む高性能の金属酸化物半導体電界効果トランジスタ(MOSFET)及び高性能MOSFETの製造方法に関する。
トランジスタ、キャパシタ等のような集積回路素子は、サイズが劇的に低減され、密度及び近接性が増しており、そのことにより信号伝搬経路長及び信号伝搬時間が低減される。しかしながら、集積回路要素のサイズが低減するに従って、トランジスタ及び他の素子が機能する材料の特性及び物理的効果が損なわれるのは必至である。
従って、これらの素子の性能の好適レベルを保持するために、多くの改善された設計が提供された。例えば、短チャネル効果、パンチスルー効果等を妨げるために、電界効果トランジスタ(FET)において、低濃度にドープされたドレイン(LDD)構造体(一般に、延長注入と呼ばれる)、ハロ注入及び勾配付けされた不純物プロファイルを用いた。たとえ動作マージンを低下させることができても、デバイスを損傷させることなく適切な性能を保持するために、デバイス・スケールの低減により、低下した電圧での動作も必要とされた。
電界効果トランジスタの性能に影響を及ぼす主な要因は、特定のゲート電圧下でドープされた半導体チャネルを通って(電子又は正孔として)流れることができる電流又は電荷の量を決定するキャリア移動度である。FETにおけるキャリア移動度の低減は、所定のトランジスタのスイッチング速度/スキュー率を低減させるだけでなく、「オン」抵抗と「オフ」抵抗の間の差も低減させる。この後者の影響により、ノイズの影響の受けやすさが増加し、下流のトランジスタ・ゲートを駆動できる回数及び/又は速度が低減される。
応力のタイプ(例えば、引張応力又は圧縮応力)及びキャリアのタイプ(例えば、電子又は正孔)に応じて、FETのチャネル領域における機械的応力がキャリア移動度を著しく増減させ得ることが明らかになった。典型的には、トランジスタのチャネル領域における引張応力は、チャネルの電子移動度を増加させるが、チャネルの正孔移動度を減少させ、一方、こうしたチャネル領域における圧縮応力は、チャネルの正孔移動度を増加させるが、チャネルの電子移動度を減少させる。
この点で、FETチャネル層の下部から応力を与えるために下にあるSiGe層を用いること、及び/又は、FETチャネル層の側部から長手方向の応力を与えるために浅いトレンチ分離(STI)構造体、ゲート・スペーサ、Siエッチング停止層を用いることなど、FETチャネル領域内に引張応力又は圧縮応力を発生させるために多数の構造体及び材料が提案されてきた。
しかしながら、製造コスト及び処理の複雑さの増加に加えて歩留まりに大きな影響を与える転位欠陥の形成を含む、下にあるSiGe層に関する当業者には周知の問題が存在する。STI手法は、あまり費用がかからないが、ゲートに自己整合されず、外部抵抗(RX)のサイズに影響されやすい。他方、Siエッチング停止層を用いることにより、緊密に配置された2つのゲート間の空間によって利得が制限される。トランジスタが拡大縮小するにつれて空間がより小さくなり、これに従ってSiの厚さを低減させる必要があり、結果として応力効果が小さくなる。
米国特許出願公開第2003/0040158号明細書 米国特許出願公開第2005/0082616号明細書 米国特許出願公開第2005/0093059号明細書 米国特許第6,420,766号明細書 米国特許第6,914,303号明細書
従って、コスト及び処理の複雑さが低減した高性能FETデバイスを形成するための、著しく高い応力を与えることが可能な構造体及び方法に対する必要性が引き続き存在している。
本発明は、内因性応力が加えられたゲート金属シリサイド層を有利に用いて、それぞれのMOSFET部品に所望の応力を(すなわち、p−MOSFETチャネルに圧縮応力を、n−MOSFETチャネルに引張応力を)適用する。
1つの態様において、本発明は、半導体基板内に配置された半導体デバイスに関する。半導体デバイスは、ソース領域、ドレイン領域、チャネル領域、ゲート誘電体層、ゲート電極及び1つ又は複数のゲート側壁スペーサを含む少なくとも1つの電界効果トランジスタ(FET)を含み、ゲート電極は、1つ又は複数のゲート側壁スペーサによって横方向に制限され、かつ、FETのチャネル領域内に応力を生じさせるように配置され構成される内因性応力が加えられたゲート金属シリサイド層を含む。
ここで用いられる「内因性応力が加えられた(intrinsically stressed)」又は「内因性応力(intrinsicstress)」という用語は、外力によって構造体に適用され、外力によってのみ保持することができる外部応力とは対照的に、構造体の準備中に発生し、従って、外力なしで構造体内に保持される、圧縮又は引張のいずれかの応力又は応力の存在を指す。
1つの好ましい実施形態においては、FETは、p−チャネルFET(p−FET)である。p−FETのゲート電極は、p−FETのチャネル領域内に圧縮応力を生じさせるための、1つ又は複数のゲート側壁スペーサによって横方向に制限される、内因性応力が加えられた(より好ましくは、引張応力が加えられた)ゲート金属シリサイド層を含むことがより好ましい。
さらに、本発明の半導体デバイスは、p−FETに加えて、n−チャネルFET(n−FET)を含むことができる。1つの実施形態において、n−FETは、1つ又は複数のゲート側壁スペーサの上方に突出するゲート金属シリサイド層を有するゲート電極を含むことができる。このような突出するゲート金属シリサイドは、n−FETのチャネル領域内に応力を殆ど又は全く生じさせない。1つの代替的な実施形態において、n−FETは、n−FETのチャネル領域内に引張応力を生じさせるための、1つ又は複数のゲート側壁スペーサによって横方向に制限される内因性応力が加えられた(より好ましくは、圧縮応力が加えられた)ゲート金属シリサイド層を有するゲート電極を含むことができる。
ここで用いられる「引張応力が加えられた」又は「圧縮応力が加えられた」という用語は、典型的には、特に指定されない限り、圧縮又は引張のいずれかの内因性応力によって特徴付けられる構造体を指す。
別の態様において、本発明は、
半導体基板内に、ソース領域、ドレイン領域、チャネル領域、ゲート誘電体層、ゲート電極及び1つ又は複数のゲート側壁スペーサを含む少なくとも1つの電界効果トランジスタ(FET)を形成するステップと、
ゲート電極の表面内に内因性応力が加えられた金属シリサイド層を形成するステップであって、内因性応力が加えられた金属シリサイド層は、ゲート側壁スペーサによって横方向に制限され、かつ、FETのチャネル領域内に応力を生じさせるように配置され構成される、ステップと
を含む、半導体デバイスを製造する方法に関する。
本発明の1つの実施形態において、内因性応力が加えられた金属シリサイド層は、サリサイド化(すなわち、自己整合型サリサイド化)プロセスによって形成される。上述のように、FETは、p−FETのチャネル領域内に圧縮応力を生じさせるための、1つ又は複数のゲート側壁スペーサによって横方向に制限された、内因性応力が加えられた金属シリサイド層を備えたゲート電極を有するp−FETであることが好ましい。p−FETに加えて、n−FETが形成されることがより好ましい。本発明のこの実施形態において、n−FETは、1つ又は複数のゲート側壁スペーサの上方に突出するゲート金属シリサイド層を備えたゲート電極を有することができる。
p−FET及びn−FETは、
凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを形成するステップと、
p−FET前駆構造体及びn−FET前駆構造体の上に金属層を堆積させるステップと、
金属層の上に第1のキャッピング層及び第2のキャッピング層を堆積させるステップと、
p−FET前駆構造体及びn−FET前駆構造体を高温でアニールし、p−FET前駆構造体の凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、n−FET前駆構造体の凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップと、
p−FET前駆構造体及びn−FET前駆構造体から、未反応金属、第1のキャッピング層及び第2のキャッピング層を除去し、p−FET及びn−FETを形成するステップと
によって形成することができる。
代替的に、p−FET及びn−FETは、
凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを準備するステップと、
p−FET前駆構造体及びn−FET前駆構造体の上に金属層を堆積させるステップと、
金属層の上に第1のキャッピング層を堆積させて、p−FET前駆構造体及びn−FET前駆構造体の両方を覆うステップと、
第1のキャッピング層の上にパターン形成された第2のキャッピング層を形成し、p−FET前駆構造体を選択的に覆うステップと、
p−FET前駆構造体及びn−FET前駆構造体を第1の高温でアニールし、p−FET前駆構造体の凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、n−FET前駆構造体の凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップと、
p−FET前駆構造体及びn−FET前駆構造体から、未反応金属、第1のキャッピング層及びパターン形成された第2のキャッピング層を除去し、p−FET及びn−FETを形成するステップと
によって形成することができる。
さらに、p−FET及びn−FETは、
凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを準備するステップと、
p−FET前駆構造体及びn−FET前駆構造体の上の金属層と、金属層の上の第1のキャッピング層とを堆積させて、p−FET前駆構造体及びn−FET前駆構造体の両方を覆うステップと、
p−FET前駆構造体及びn−FET前駆構造体を第1の高温でアニールし、p−FET前駆構造体の凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、n−FET前駆構造体の凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップであって、第1の金属シリサイド層及び第2の金属シリサイド層は第1のシリサイド相を有する、ステップと、
p−FET前駆構造体及びn−FET前駆構造体から、未反応金属及び第1のキャッピング層を除去するステップと、
パターン形成された第2のキャッピング層を形成し、p−FET前駆構造体を選択的に覆うステップと、
p−FET前駆構造体及びn−FET前駆構造体を第2の高温でアニールし、第1の金属シリサイド層及び第2の金属シリサイド層を第1のシリサイド相から第2の異なるシリサイド相に変換するステップと、
p−FET前駆構造体からパターン形成された第2のキャッピング層を除去し、p−FET及びn−FETを形成するステップと
によって形成することができる。
さらに、p−FET及びn−FETは、
凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを準備するステップと、
p−FET前駆構造体及びn−FET前駆構造体の上の金属層と、金属層の上の第1のキャッピング層とを堆積させて、p−FET前駆構造体とn−FET前駆構造体との両方を覆うステップと、
p−FET前駆構造体及びn−FET前駆構造体を第1の高温でアニールし、p−FET前駆構造体の凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、n−FET前駆構造体の凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップであって、第1の金属シリサイド層及び第2の金属シリサイド層は第1のシリサイド相を有する、ステップと、
p−FET前駆構造体及びn−FET前駆構造体から、未反応金属及び第1のキャッピング層を除去するステップと、
パターン形成された第2のキャッピング層を形成し、p−FET前駆構造体を選択的に覆うステップであって、パターン形成された第2のキャッピング層に圧縮応力が加えられる、ステップと、
p−FET前駆構造体及びn−FET前駆構造体を第2の高温でアニールし、第1の金属シリサイド層及び第2の金属シリサイド層を第1のシリサイド相から第2の異なるシリサイド相に変換するステップと、
パターン形成された第3のキャッピング層を形成し、n−FET前駆構造体を選択的に覆うステップであって、パターン形成された第3のキャッピング層に引張応力が加えられ、n−FET及びp−FETを形成するステップと
によって形成することができる。
更に別の態様において、本発明は、半導体基板内に配置された半導体デバイスに関し、この半導体デバイスは、1つ又は複数のゲート側壁スペーサによって横方向に制限され、かつ、p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成される内因性応力が加えられたゲート金属シリサイド層を含む少なくとも1つのp−チャネル電界効果トランジスタ(p−FET)と、1つ又は複数のゲート側壁スペーサの上方に突出するゲート金属シリサイド層を含む少なくとも1つのn−チャネル電界効果トランジスタ(n−FET)とを含む。
更に別の態様において、本発明は、半導体基板内に配置された半導体デバイスに関し、この半導体デバイスは、1つ又は複数のゲート側壁スペーサによって横方向に制限され、かつ、p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成される内因性応力が加えられたゲート金属シリサイド層を含む少なくとも1つのp−チャネル電界効果トランジスタ(p−FET)と、1つ又は複数のゲート側壁スペーサによって横方向に制限され、かつ、n−FETのチャネル領域内に引張応力を生じさせるように配置され構成される内因性応力が加えられたゲート金属シリサイド層を含む少なくとも1つのn−チャネル電界効果トランジスタ(n−FET)とを含む。
本発明の他の態様、特徴及び利点は、次の開示及び添付の特許請求の範囲からより完全に明らかになるであろう。
上述したように、本発明は、FETのチャネル領域内に所望の応力(すなわち、n−チャネルにおける引張応力及びp−チャネルにおける圧縮応力)を生じさせるために、内因性応力が加えられたゲート金属シリサイド層を用いる。
内因性応力が加えられたゲート金属シリサイド層は、容量の収縮又は拡張をもたらし、そのことが、ゲート金属シリサイド層内に内部引張応力又は内部圧縮応力を生じさせる、サリサイド化プロセスによって形成されることが好ましい。例えば、コバルト含有ゲート金属層又はニッケル含有ゲート金属層のサリサイド化は、一般に、ゲート金属層における容量の収縮をもたらし、引張応力が加えられたゲート金属シリサイド層を生成する。金属シリサイドが剛性のキャッピング層(Siキャッピング層のような)及び/又は1つ又は複数のゲート側壁スペーサによりカプセル封入される場合には、高い引張応力(約1GPaから約1.5GPaまで)を形成し、ゲート金属シリサイド層内に保持することが可能である。次いで、引張応力が加えられたゲート金属シリサイド層は、下にあるFETのチャネル層内に圧縮応力を生じさせ、この圧縮応力を有利に用いて、p−チャネルFETにおける正孔移動度を増加させることができる。一方、パラジウム含有ゲート金属層のサリサイド化は、一般に、ゲート金属層における容量の拡張をもたらし、かつ、圧縮応力が加えられたゲート金属シリサイド層を形成し、この圧縮応力が加えられたゲート金属シリサイド層を用いてn−FETのチャネル領域内に引張応力を生じさせ、内部の電子移動度を増加させることができる。
次の説明においては、本発明の完全な理解を提供するために、特定の構造体、部品、材料、寸法、処理ステップ及び技術といった多数の特定の詳細が述べられる。しかしながら、当業者であれば、これらの特定の詳細なしで本発明を実施できることを理解するであろう。他の例においては、本発明が分かりにくくなることを避けるために、周知の構造体又は処理ステップは詳細に説明されなかった。
層、領域、又は基板のような要素が別の要素の「上に」あると言及されるとき、これは、他の要素の真上にある場合もあり、又は介在要素も存在し得ることが理解されるであろう。対照的に、要素が別の要素の「真上に」あると言及されるとき、介在要素は存在しない。要素が別の要素に「接続される」又は「結合される」と言及されるとき、これは、他の要素に直接接続又は結合される場合もあり、又は介在要素が存在し得ることが理解されるであろう。対照的に、要素が別の要素に「直接接続される」又は「直接結合される」と言及されるとき、介在要素は存在しない。
本発明は、FETのチャネル領域内に所望の応力を生じさせ、FETのチャネル領域内の対応するキャリア(すなわち、n−チャネルにおける電子及びp−チャネルにおける正孔)の移動度を増加させるための、1つ又は複数のゲート側壁スペーサによって横方向に制限された内因性応力が加えられたゲート金属シリサイド層を備えた少なくとも1つのFETを含む半導体デバイスを提供するものである。
ここで、図1−図10の添付図面を参照することによって、本発明のFETデバイス構造体及びこれを製造する方法が、より詳細に説明される。縮尺通りに描かれていないこれらの図面においては、同じ要素及び/又は対応する要素は、同じ参照符号で言及されることに留意されたい。さらに、図面においては、単一の半導体基板の上に1つのp−チャネルFET及び1つのn−チャネルFETが示されていることにも留意されたい。そのような実施形態の説明がなされているが、本発明は、半導体構造体の表面上にいずれかの特定の数のFETデバイスを形成することに限定されるものではない。
最初に、内部に1つ又は複数の分離領域14を含む半導体基板12を示す図1について説明する。
半導体基板12は、これらに限定されるものではないが、Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP、及び他のIII−V族又はII−VI族化合物半導体を含む任意の半導体材料を含むことができる。半導体基板12はまた、有機半導体、或いはSi/SiGe、シリコン・オン・インシュレータ(SOI)又はSiGeオン・インシュレータ(SGOI)などの層状半導体を含むこともできる。本発明の幾つかの実施形態においては、半導体基板12は、Si含有半導体材料、すなわちシリコンを含む半導体材料からなることが好ましい。半導体基板12は、ドープされたものであっても、ドープされていないものであってもよく、或いは内部にドープ領域と非ドープ領域を含んでいてもよい。半導体基板12は、n−FETのための第1のドープされた(n−又はp−)デバイス領域(図示せず)と、p−FETのための第2のドープされた(n−又はp−)デバイス領域(図示せず)とを含むことができる。第1のドープされたデバイス領域及び第2ドープされたデバイス領域は、同じものであっても、又は異なる導電率及び/又はドーピング濃度をもつものであってもよい。ドープされたデバイス領域は、一般に「ウェル」として知られる。
典型的には、少なくとも1つの分離領域14が半導体基板12内に形成され、n−FETのためのドープされたデバイス領域とp−FETのためのドープされたデバイス領域との間に分離を与える。分離領域14は、トレンチ分離領域又はフィールド酸化物分離領域とすることができる。トレンチ分離領域は、当業者には周知の従来のトレンチ分離プロセスを用いて形成される。例えば、トレンチ分離領域を形成するのに、リソグラフィ、エッチング、及びトレンチ誘電体でのトレンチの充填を用いることができる。随意的に、トレンチの充填前にトレンチ内にライナを形成することができ、トレンチの充填後に緻密化ステップを行うことができ、トレンチの充填に続いて平坦化プロセスを行うこともできる。フィールド酸化物は、いわゆるシリコン局所酸化(local oxidation of silicon process)法を用いて形成することができる。
半導体基板12内に少なくとも1つの分離領域14を形成した後、基板12の表面全体にゲート誘電体層16が形成される。ゲート誘電体層16は、例えば、酸化、窒化、又は酸窒化のような熱成長プロセスによって形成することができる。代替的に、ゲート誘電体層16は、例えば、化学気相堆積(CVD)、プラズマ強化CVD、原子層堆積(ALD)、蒸着、反応性スパッタリング、化学溶液堆積及び他の同様な堆積プロセスなどの堆積プロセスによって形成することができる。ゲート誘電体層16はまた、上記のプロセスのいずれかの組み合わせを用いて形成することもできる。ゲート誘電体層16は、これらに限定されるものではないが、酸化物、窒化物、酸窒化物、及び/又は金属シリケート及び窒化金属シリケートを含むシリケートなどの絶縁材料からなる。1つの実施形態においては、ゲート誘電体層16は、例えば、SiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO及びそれらの混合物などの酸化物からなることが好ましい。ゲート誘電体層16の物理的厚さは変わり得るが、典型的には、ゲート誘電体層16は、約0.5nmから約10nmまでの厚さを有し、約0.5nmから約3nmまでの厚さがより典型的である。
ゲート誘電体層16を形成した後、ゲート導体層18が、例えば、物理気相堆積、CVD、又は蒸着などの周知の堆積プロセスを用いて、ゲート誘電体層16上に形成される。ゲート導体層18は、これらに限定されるものではないが、シリコン、ポリシリコン、又は金属を含む任意の好適な材料を含むことができる。ゲート導体層18は、ドープされていても、又は非ドープであってもよいポリシリコンを含むことが好ましいが、必須ではない。本発明のこの時点で堆積されたゲート導体層18の厚さすなわち高さは、用いられる堆積プロセスに応じて変わり得る。典型的には、ゲート導体層18は、約20nmから約180nmまでの垂直方向厚さを有し、約40nmから約150nmまでの厚さがより典型的である。
ゲート誘電体層16及びゲート導体層18は協働してゲート・スタックを形成し、このゲート・スタックは、一般にMOSゲート構造体内に含まれるような、例えばキャップ層及び/又は拡散バリア層(図示せず)のような付加的な構造体層を含むことができる。ゲート・スタックを形成した後、その上に、例えば、物理気相堆積(PVD)、化学気相堆積(CVD)、又はテトラエチルオルトシリケート・ベースの化学気相堆積(CVD TEOS)のような堆積プロセスを用いて、誘電体ハードマスク20が堆積される。誘電体ハードマスク20は、酸化物、窒化物、酸窒化物、又はそれらの任意の組み合わせとすることができる。誘電体ハードマスク20は、テトラエチルオルトシリケート・ベースの化学気相堆積プロセスによって堆積された酸化シリコンを含むことが好ましい。
次に、リソグラフィ及びエッチングによって、ゲート誘電体層16、ゲート導体層18及び誘電体ハードマスク20がパターン形成され、図2に示されるような、1つはn−FETのためのものであり、1つはp−FETのためのものである、2つ又はそれ以上のパターン形成されたゲート・スタックを形成する。n−FETのためのパターン形成されたゲート・スタックは、ゲート誘電体層116と、パターン形成された誘電体ハードマスク120で覆われたゲート導体すなわちゲート電極118とを含み、p−FETのためのパターン形成されたゲート・スタックは、ゲート誘電体層216と、パターン形成された誘電体ハードマスク220で覆われたゲート電極218とを含む。n−FET及びp−FETのためのパターン形成されたゲート・スタックは、同じ寸法すなわち長さを有してもよく、又はデバイスの性能を改善するために可変の寸法を有してもよい。リソグラフィ・ステップは、誘電体ハードマスク層20の上面にフォトレジスト(図示せず)を適用することと、フォトレジストを所望の放射パターンに露光させることと、通常のレジスト現像剤を用いて露光されたフォトレジストを現像することとを含む。次いで、1つ又は複数のドライ・エッチング・ステップを用いて、フォトレジストのパターンが、誘電体ハードマスク20、ゲート導体層18及びゲート誘電体層16に転写され、パターン形成されたゲート・スタックを形成する。パターン形成されたゲート・スタックを形成するのに本発明において用い得る適切なドライ・エッチング・プロセスは、これらに限定されるものではないが、反応性イオン・エッチング(RIE)、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションを含む。次いで、エッチングが完了した後、パターン形成されたフォトレジストを除去し、パターン形成されたゲート・スタックを露出させる。
次に、p−FETのためのパターン形成されたゲート・スタックの上に、パターン形成されたフォトレジスト膜22が選択的に形成される。図3に示されるように、n−FETのためのパターン形成されたゲート・スタックに対応する領域と他の必要な領域を露出させ、n−FETのためのパターン形成されたゲート・スタックから誘電体ハードマスク層120を選択的に除去することを可能にする。n−FETゲート・スタックから誘電体ハードマスク層120を選択的に除去した後、パターン形成されたフォトレジスト膜22が除去される。
次に、図4に示されるように、n−FET及びp−FETのパターン形成されたゲート・スタックの露出された側壁に沿って、第1の組の側壁ゲート・スペーサ122及び222が形成され、続いて、n−FETのソース/ドレイン延長注入部126S、126D及びハロ注入部128S、128D、並びにp−FETのソース/ドレイン延長注入部226S、226D及びハロ注入部228S、228Dが形成される。
延長注入部126S、126D、226S及び226Dは、n−FET及びp−FETのパターン形成されたゲート・スタックが注入マスクとして用いられるイオン注入ステップによって、自己整合手法で形成することができる。延長注入部126S、126D、226S及び226Dは、n−FET及びp−FETの主なソース/ドレイン層(後に形成される)と同じ導電型の不純物層である。従って、ソース/ドレイン層として働く延長注入部は、ここではソース/ドレイン延長注入部と呼ばれる。注入中の不純物イオンのばらつき及びその後のプロセスにおける不純物イオンの拡散のために、ソース/ドレイン延長注入部126S、126D、226S及び226Dは、パターン形成されたゲート・スタックの下に必要以上に延びることがある。
その後、パターン形成されたゲート・スタックを注入マスクとして用いて、ハロ注入ステップにより、ソース/ドレイン・ポケットすなわちハロ注入部128S、128D、228S及び228Dを形成することができる。ハロ注入ステップは、垂直方向に対して所定の傾斜角で行われることが好ましいが、必須ではない。最適な注入レベルを達成するために、ハロ注入種、イオン・ビームのエネルギー・レベル、及び/又はイオン・ビームの露光時間を調整することができる。
図5は、CVD及びRIEのような通常の周知の処理ステップにより、n−FET及びp−FETのパターン形成されたゲート・スタックの側壁に沿って、それぞれ第2の組の側壁ゲート・スペーサ124及び224を引き続き形成することを示す。側壁ゲート・スペーサ124及び224は、窒化シリコン、二酸化シリコン、又は酸窒化シリコンのような任意の絶縁材料を含むことができる。
次いで、図5に示されるように、パターン形成されたゲート・スタック並びに第2の組の側壁ゲート・スペーサ124及び224を注入マスクとして用いて、別のイオン注入ステップを行い、自己整合手法でn−FET及びp−FETのためのソース/ドレイン領域130S、130D、230S及び230Dを形成することができる。続いてソース/ドレイン領域130S、130D、230S及び230Dをアニールし、内部に注入されたドーパント種を活性化させることができる。イオン注入及びアニール・ステップのための条件は、当業者には周知である。
特許文献2に記載されるように、ソース/ドレイン領域130S、130D、230S及び230Dは、代替的に、内部引張応力又は圧縮応力を有する埋め込まれたエピタキシャル層を含むこともできる。こうした材料層の本来の格子定数が基板のベースの格子定数とは異なる場合には、基板上への材料層のエピタキシャル成長が、こうした材料層に内因性応力を与え得ることが知られている。例えば、炭素の本来の格子定数は、シリコンのものより小さい。従って、シリコン基板上にエピタキシャル成長されたSi:C層は、Si:C結晶格子の引張歪みに起因する引張応力を含む。同様に、ゲルマニウムの本来の格子定数は、シリコンのものより大きいので、シリコン基板上にエピタキシャル成長されたSiGe層は、SiGe結晶格子の圧縮歪みに起因する圧縮応力を含む。
特許文献2は、n−FET又はp−FETのチャネル内に引張応力又は圧縮応力をもたらすために、n−FET又はp−FETのソース/ドレイン領域において引張応力又は圧縮応力を有する埋め込まれたSi:C層又はSiGe層を使用することについて具体的に記載する。例えば、最初にp−FETのソース及びドレイン領域をエッチングし、p−FETのエッチングされた領域に、高度に圧縮性の選択的なエピタキシャルSiGe層を成長させて、隣接するp−FETのチャネル領域に圧縮応力を適用する。引き続き、n−FETのソース及びドレイン領域をエッチングし、n−FETのエッチングされた領域に、高度に引張性の選択的なエピタキシャルSi:C層を成長させ、隣接するn−FETのチャネル領域に引張応力を適用する。
さらに、ソース及びドレイン領域130S、230S、130D、230Dを「隆起」手法で形成することもできる。
ソース/ドレイン領域130S、130D、230S及び230Dを形成した後、p−FETのパターン形成されたゲート・スタックから誘電体ハードマスク層220が除去される。このように、図6に示されるように、「凹ませられていない」ゲート電極118を有するn−FETのゲート・スタックが形成される、すなわち、ゲート電極118は、ゲート側壁スペーサ122及び124と実質的に同一平面上にある上面を有する。一方で、「凹ませられた」ゲート電極218を有するp−FETのゲート・スタックが形成される、すなわち、ゲート電極218は、ゲート側壁スペーサ122及び124内に凹ませられた上面を有する。
続いて、図6の構造体全体の上に、薄い金属層24(例えば、約3−15nmの厚さ)が形成される。金属層24は、シリコンと反応して金属シリサイドを形成することができる任意の金属又は金属合金を含むことができる。こうした金属又は金属合金の例は、これらに限定されるものではないが、Co、Ni、Pd、Ti、Ta、W、Pt及びそれらの合金を含む。1つの実施形態においては、Ni及びCoが好ましい金属である。別の実施形態においては、Pdが好ましい金属である。金属層24は、例えば、スパッタリング、化学気相堆積、蒸着、化学溶液堆積、めっき等を含む任意の従来の堆積プロセスを用いて堆積させることができる。半導体基板12又はゲート電極118及び218がシリコンを含まない場合には、金属層24を堆積させる前に、n−FET及びp−FETのソース/ドレイン領域及びゲート電極の上に、パターン形成されたシリコン層(図示せず)を成長させ、その後ソース/ドレイン及びゲート金属シリサイド・コンタクトを形成することができる。
次いで、図7に示されるように、金属層24の上に、第1のキャッピング層26が形成される。第1のキャッピング層26は、TiNのような拡散バリア材料を含むことが好ましい。
図8に示されるように、第1のキャッピング層26の上に第2のキャッピング層が形成され、n−FET及びp−FETの両方を覆う。第2のキャッピング層28は、窒化シリコンのような誘電体材料を含むことが好ましいが、必須ではない。
次に、図9に示されるように、約5−50秒間、高いアニール温度でアニール・ステップが行われ、ここで金属層24内の金属は、ゲート電極118及び218における露出されたシリコン、並びに、ソース/ドレイン領域130S、130D、230S及び230Dにおける露出されたシリコンと反応し、ゲート及びソース/ドレイン金属シリサイド・コンタクト132、232、134S、134D、234S及び234Dを形成する。アニール温度は、金属層24内に含まれる特定のタイプの金属によって、約350°Cから約550°Cまでの範囲に及ぶことができる。例えば、コバルトの場合、アニール温度は、約450°Cから約550°Cまでであることが好ましく、ニッケルの場合、アニール温度は、約350°Cから約450°Cまでであることが好ましい。アニール後、n−FET及びp−FETから、第1のキャッピング層26及び第2のキャッピング層28、並びに他の領域内の未反応金属を除去する。
典型的には、CoSi又はNiSiといった金属シリサイドを形成することにより、容量の減少がもたらされ、こうした金属シリサイド内に高い引張応力を引き起こす。n−FET及びp−FETの両方に金属シリサイド・コンタクトを形成するために単一のCo含有金属層又はNi含有金属層が用いられる場合には、引張応力が加えられたゲート金属シリサイド層が形成され、この引張応力が加えられたゲート金属シリサイド層は、側壁スペーサによって制限された場合、下にあるチャネル層に応力を伝達し、チャネル層内に反対の圧縮応力を生じさせる。
圧縮応力は、正孔移動度を高める(p−FET性能の向上をもたらす)が、電子移動度を低下させる(n−FET性能の低下をもたらす)ことが知られている。従って、図9に示されるように、単一のCo含有金属層又はNi含有属層が用いられる場合には、p−FETゲート金属シリサイド232が、ゲート側壁スペーサ222及び224により横方向に制限されることが好ましく、そのため、p−FETゲート金属シリサイド232は、p−FETの下にあるチャネル層に応力を効果的に伝達し、p−チャネルにおける正孔移動度を向上させる反対の圧縮応力を下にあるチャネル層内にもたらすことができる。しかしながら、n−FETの場合、金属シリサイド132は上方に突出し、かつ、ゲート側壁スペーサ222及び224より横方向に制限されないことが好ましいので、n−FETの下にあるチャネル層内には殆ど又は全く圧縮応力が生じなかった。
他方、高い内部圧縮応力をもたらす容量の拡張を伴って、PdSiのような特定の金属シリサイドを形成することができる。従って、n−FET及びp−FET内に金属シリサイド・コンタクトを形成するために、異なる金属層を用いることが望ましい。例えば、n−FETのために圧縮応力が加えられたゲート金属シリサイド層を形成し、p−FETのために引張応力が加えられたゲート金属シリサイドを形成するために、パターン形成されたPd含有金属層(図示せず)を形成してn−FETを選択的に覆い、パターン形成されたCo含有金属層又はNi含有金属層(図示せず)を形成してp−FETを選択的に覆うことができる。このように、n−FET及びp−FETの下にあるチャネル層内に所望の応力を効果的に生じさせるために、ゲート側壁スペーサによって、n−FETゲート金属シリサイド層及びp−FETゲート金属シリサイド層の両方を横方向に制限することができる。
図10は、続いて、図9の構造体全体の上に、エッチング停止/応力誘起キャップ層30を形成することを示す。エッチング停止/応力誘起キャップ層30は、これらに限定されるものではないが、酸化物、窒化物、酸窒化物、及び/又は金属シリケート及び窒化金属シリケートを含むシリケートなどの任意の好適な絶縁材料を含むことができる。エッチング停止/応力誘起キャップ層30は、内因性応力が加えられ、かつ、n−FET及びp−FETのチャネル領域に所望の応力を適用するように配置され構成された、窒化シリコン又は酸窒化シリコンのような窒化物又は酸窒化物の絶縁材料を含むことが好ましい。そのようなエッチング停止/応力誘起キャップ層30は、例えば、低圧化学気相堆積(LPCVD)、プラズマ強化化学気相堆積(PE CVD)、又は高密度プラズマ化学気相堆積(HDP CVD)、或いは誘電体堆積のために一般に用いられる任意の他のプロセスといった種々の誘電体堆積技術によって形成することができる。
続いて、図10に示されるように、通常のバック・エンド・オブ・ライン処理ステップを行って、層間誘電体(ILD)32並びに金属コンタクト34及び36を備えたn−FET及びp−FETの両方を含む、完成した半導体デバイスを形成することができる。ILD32は、誘電体酸化物材料、又は低い誘電定数の絶縁材料を含むことができる。ILD32並びに金属コンタクト34及び36の製造プロセスは、当業者には周知であり、よって、ここでは詳細に説明しない。
図1−図10に示される処理ステップは、上記に与えられた説明と矛盾することなく当業者によって容易に修正することができ、そのような修正は、本発明の精神及び範囲内にあるとみなされることに留意することが重要である。
例えば、図11に示されるように、好ましくはSiを含む第2のキャッピング層28をパターン形成して、p−FETを選択的に覆うことができる。このように、後のアニール・ステップ中、第2のキャッピング層28が、p−FETのゲート金属シリサイド層232における容量変化を選択的に制限し、これにより、p−FETゲート金属シリサイド層232内に内因性応力が発生する。内因性応力が加えられたp−FETゲート金属シリサイド層232を形成した後、未反応金属、第1のキャッピング層26及びパターン形成された第2のキャッピング層28を除去し、図9に示されるようなデバイス構造体を形成することができ、これに続いて、上述のように通常のバック・エンド・オブ・ライン処理ステップにより、このデバイス構造体を処理することができる。
別の例では、金属層24は、Co又はTiなどの金属を含むことができ、この金属層24を用いて、2段階のアニール・プロセスによってCoSi又はTiSiのような金属シリサイドを形成することができる。具体的には、金属層24及び第1のキャッピング層26を堆積させた後、第1のアニール・ステップを用いて、より高い抵抗率の第1のシリサイド相の金属シリサイド(例えば、CoSi又はTiSi)を形成する。次に、図12に示されるように、デバイス構造体から、第1のキャッピング層26及び未反応金属を除去し、続いて、p−FETを選択的に覆うパターン形成された第2のキャッピング層28を堆積させる。その後、異なるアニール温度で第2のアニール・ステップを行って、残りのポリシリコンを消費し、金属シリサイドを第1のシリサイド相からより低い抵抗率の第2のシリサイド相(例えば、CoSi又はTiSi)に変換する。このような第2のアニール・ステップ中、パターン形成された第2のキャッピング層28は、p−FETゲート金属シリサイド層232における容量変化を選択的に制限し、これにより、p−FETゲート金属シリサイド層232内に内因性応力が発生する。内因性応力が加えられたp−FETゲート金属シリサイド層232を形成した後、パターン形成された第2のキャッピング層28を除去し、図9に示されるデバイス構造体を形成することができ、これに続いて、上述のような通常のバック・エンド・オブ・ライン処理ステップによって、このデバイス構造体を処理することができる。
更に別の例では、図13に示されるように、第1のアニール・ステップの後、第2のアニール・ステップの前に、内部圧縮応力を含むパターン形成された第2のキャッピング層28Aを提供し、p−FETを選択的に覆うことができる。第2のアニール・ステップ中、このように圧縮応力が加えられたキャッピング層28Aは、p−FETゲート金属シリサイド層232における容量変化を選択的に制限するだけではなく、層232に引張応力をも適用し、これによりp−FETゲート金属シリサイド層232において形成される引張応力が著しく増大される。p−FETゲート金属シリサイド層232を形成した後、圧縮応力が加えられたキャッピング層28Aをデバイス構造体内に保持することができるので、圧縮応力が加えられたキャッピング層28Aは、引き続きp−FETゲート金属シリサイド層232内に引張応力を生じさせ、p−FETの下にあるチャネル領域内に所望の圧縮応力を生じさせる。図14に示されるように、さらに、引張応力が加えられたキャッピング層28Bを、圧縮応力が加えられたキャッピング層28Aの横に並べて形成し、n−FETを選択的に覆うことができるのが好ましいが、必須ではない。引張応力が加えられたキャッピング層28Bは、n−FETゲート金属シリサイド層132内に圧縮応力を生じさせ、n−FETの下にあるチャネル領域内に所望の引張応力を生じさせるように働く。
さらに、上述した本発明の構造体及び処理ステップは、FETデバイスのチャネル領域における移動度を向上させ得る任意の他の周知の材料、構造体、又は処理ステップと共に容易に用いることができる。例えば、ゲート・スタック、隆起型ソース/ドレイン領域、埋め込まれたウェル領域、及び/又は、Si:C及び/又はSiGeを含有する、埋め込まれ応力が加えられたソース/ドレイン領域の周りに形成される応力が加えられたライナを、本発明と共に用いることが可能である。これらの構造体又は特徴は、ここでは具体的に説明されないが、当業者であれば、これらを本明細書に与えられる説明と矛盾しない形で別個に又は組み合わせて、本発明に組み入れることができることに留意すべきである。
本発明の方法は、これらに限定されるものではないが、相補型金属酸化膜半導体(CMOS)トランジスタ、並びに集積回路、マイクロプロセッサ、及び当業者には周知であり、かつ、本発明の歪み半導体オン・インシュレータ構造体を組み込むように容易に修正することができるこうしたCMOS構造体を含む他の電子デバイスなどの、種々の半導体デバイス構造体を製造するために広く用いることができ、よって、それらの製造に関する詳細は、ここでは提供されない。
本発明が、特定の実施形態、特徴及び態様に関してここに説明されたが、本発明は、これらに制限されるものではなく、寧ろ、他の修正、変形、用途及び実施形態への使用にも適用され、従って、こうした他の修正、変形、用途及び実施形態の全てを、本発明の精神及び範囲内にあるとみなすべきであることが理解されるであろう。
本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、引張応力が加えられた「凹ませられた」ゲート金属シリサイド層を有するp−FETと、「凹ませられていない」ゲート金属シリサイド層を有するnFETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、図10の半導体デバイス構造体を形成するための代替的な処理ステップを示す。 本発明の1つの実施形態による、図10の半導体デバイス構造体を形成するための更に別の代替的な処理ステップを示す。 本発明の1つの実施形態による、「凹ませられた」ゲート金属シリサイド層を有し、かつ、圧縮応力が加えられたキャッピング層で覆われたp−FETと、「凹ませられていない」ゲート金属シリサイド層を有し、かつ、引張応力が加えられたキャッピング層で覆われたn−FETとを含む例示的な半導体デバイス構造体を形成するための処理ステップを示す。 本発明の1つの実施形態による、「凹ませられた」ゲート金属シリサイド層を有し、かつ、圧縮応力が加えられたキャッピング層で覆われたp−FETと、「凹ませられていない」ゲート金属シリサイド層を有し、かつ、引張応力が加えられたキャッピング層で覆われたn−FETとを含む例示的な半導体デバイス構造体を形成する処理ステップを示す。

Claims (7)

  1. 半導体基板内に配置された半導体デバイスであって、
    ソース領域、ドレイン領域、チャネル領域、ゲート誘電体層、ゲート電極、及び1つ又は複数のゲート側壁スペーサを含む少なくとも1つのp−FETを備え、
    前記ゲート電極は、前記1つ又は複数のゲート側壁スペーサによって横方向に制限され、当該ゲート側壁スペーサの上方に突出することなく、かつ、前記FETの前記チャネル領域内に応力を生じさせるように配置された、内因性応力が加えられたゲート金属シリサイド層を含
    前記ゲート金属シリサイド層は、Coシリサイド、Niシリサイド、またはこれらの組み合わせを含むことにより前記チャネル領域に圧縮応力を生じさせ、
    ソース領域、ドレイン領域、チャネル領域、ゲート誘電体層、ゲート電極、及び1つ又は複数のゲート側壁スペーサを含む少なくとも1つのn−FETをさらに備え
    前記n−FETにおいて、前記ゲート電極は、前記ゲート側壁スペーサの上方に突出する、Coシリサイド、Niシリサイド、またはこれらの組み合わせ、あるいはPdシリサイドを含む第2のゲート金属シリサイド層を含む、半導体デバイス。
  2. 前記p−FETと前記n−FETは、隆起型ソース及びドレイン領域を含む、請求項に記載の半導体デバイス。
  3. 前記p−FETと前記n−FETは、前記半導体基板のベースの格子定数より大きい格子定数を有する材料層からなる埋め込まれたソース及びドレイン領域を含む、請求項に記載の半導体デバイス。
  4. 半導体デバイスを製造する方法であって
    ゲート側壁スペーサの高さに対して凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、ゲート側壁スペーサの高さに対して凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを形成するステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体の上に金属層を堆積させるステップと、
    前記金属層の上に第1のキャッピング層及び第2のキャッピング層を堆積させるステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体を高温でアニールして、前記p−FET前駆構造体の前記凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、前記n−FET前駆構造体の前記凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体から、未反応金属、前記第1のキャッピング層及び前記第2のキャッピング層を除去して、前記p−FET及び前記n−FETを形成するステップとを含
    前記p−FETの第1の金属シリサイド層は、内因性応力が加えられており、前記p−FETの前記ゲート側壁スペーサによって横方向に制限され、かつ、前記p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成され
    前記n−FETの第2のゲート金属シリサイド層は、前記n−FETの前記ゲート側壁スペーサの上方に突出するように配置され構成されている、方法。
  5. 半導体デバイスを製造する方法であって
    ゲート側壁スペーサの高さに対して凹ませられたゲート電極を有する少なくとも1つのp−FETと、ゲート側壁スペーサの高さに対して凹ませられていないゲート電極を有する少なくとも1つのn−FETとを準備するステップと、
    前記p−FET及び前記n−FETの上に金属層を堆積させるステップと、
    前記金属層の上に第1のキャッピング層を堆積させて、前記p−FET及び前記n−FETの両方を覆うステップと、
    前記第1のキャッピング層の上にパターン形成された第2のキャッピング層を形成し、前記p−FETを選択的に覆うステップと、
    前記p−FET及び前記n−FETを高温でアニールし、前記p−FETの前記凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、前記n−FETの前記凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップと、
    前記p−FET及び前記n−FETから、未反応金属、前記第1のキャッピング層及び前記パターン形成された第2のキャッピング層を除去するステップとを含
    前記p−FETの第1の金属シリサイド層は、内因性応力が加えられており、前記p−FETの前記ゲート側壁スペーサによって横方向に制限され、かつ、前記p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成され
    前記n−FETの第2のゲート金属シリサイド層は、前記n−FETの前記ゲート側壁スペーサの上方に突出するように配置され構成されている、方法。
  6. 半導体デバイスを製造する方法であって
    ゲート側壁スペーサの高さに対して凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、ゲート側壁スペーサの高さに対して凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを準備するステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体の上の金属層と、前記金属層の上の第1のキャッピング層とを堆積させて、前記p−FET前駆構造体及び前記n−FET前駆構造体の両方を覆うステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体を第1の高温でアニールし、前記p−FET前駆構造体の前記凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、前記n−FET前駆構造体の前記凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップであって、前記第1の金属シリサイド層及び前記第2の金属シリサイド層は第1のシリサイド相を有する、ステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体から、未反応金属及び前記第1のキャッピング層を除去するステップと、
    パターン形成された第2のキャッピング層を形成し、前記p−FET前駆構造体を選択的に覆うステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体を第2の高温でアニールし、前記第1の金属シリサイド層及び前記第2の金属シリサイド層を、前記第1のシリサイド相から第2の異なるシリサイド相に変換するステップと、
    前記パターン形成された第2のキャッピング層を除去し、前記p−FET及び前記n−FETを形成するステップとを含
    前記p−FETの第1の金属シリサイド層は、内因性応力が加えられており、前記p−FETの前記ゲート側壁スペーサによって横方向に制限され、かつ、前記p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成され
    前記n−FETの第2のゲート金属シリサイド層は、前記n−FETの前記ゲート側壁スペーサの上方に突出するように配置され構成されている、方法。
  7. 半導体デバイスを製造する方法であって
    ゲート側壁スペーサの高さに対して凹ませられたゲート電極を有する少なくとも1つのp−FET前駆構造体と、ゲート側壁スペーサの高さに対して凹ませられていないゲート電極を有する少なくとも1つのn−FET前駆構造体とを準備するステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体の上の金属層と、前記金属層の上の第1のキャッピング層を堆積させて、p−FET前駆構造体及びn−FET前駆構造体の両方を覆うステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体を第1の高温でアニールし、前記p−FET前駆構造体の前記凹ませられたゲート電極の表面内に第1の金属シリサイド層を形成し、前記n−FET前駆構造体の前記凹ませられていないゲート電極の表面内に第2の金属シリサイド層を形成するステップであって、前記第1の金属シリサイド層及び前記第2の金属シリサイド層は第1のシリサイド相を有する、ステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体から、未反応金属及び前記第1のキャッピング層を除去するステップと、
    パターン形成された第2のキャッピング層を形成し、前記p−FET前駆構造体を選択的に覆うステップであって、前記パターン形成された第2のキャッピング層に圧縮応力が加えられる、ステップと、
    前記p−FET前駆構造体及び前記n−FET前駆構造体を第2の高温でアニールし、前記第1の金属シリサイド層及び前記第2の金属シリサイド層を前記第1のシリサイド相から第2の異なるシリサイド相に変換するステップと、
    パターン形成された第3のキャッピング層を形成し、前記n−FET前駆構造体を選択的に覆うステップであって、前記パターン形成された第3のキャッピング層に引張応力が加えられ、前記p−FET及び前記n−FETを形成するステップとを含み、
    前記p−FETの第1の金属シリサイド層は、内因性応力が加えられており、前記p−FETの前記ゲート側壁スペーサによって横方向に制限され、かつ、前記p−FETのチャネル領域内に圧縮応力を生じさせるように配置され構成され
    前記n−FETの第2のゲート金属シリサイド層は、前記n−FETの前記ゲート側壁スペーサの上方に突出するように配置され構成されている、方法。
JP2008528129A 2005-08-22 2006-08-22 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法 Expired - Fee Related JP5305907B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/208,985 US7470943B2 (en) 2005-08-22 2005-08-22 High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US11/208,985 2005-08-22
PCT/US2006/032974 WO2007024984A2 (en) 2005-08-22 2006-08-22 High performance mosfet comprising a stressed gate metal silicide layer and method of fabricating the same

Publications (3)

Publication Number Publication Date
JP2009506549A JP2009506549A (ja) 2009-02-12
JP2009506549A5 JP2009506549A5 (ja) 2009-03-26
JP5305907B2 true JP5305907B2 (ja) 2013-10-02

Family

ID=37766665

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008528129A Expired - Fee Related JP5305907B2 (ja) 2005-08-22 2006-08-22 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法

Country Status (9)

Country Link
US (2) US7470943B2 (ja)
EP (1) EP1929537B1 (ja)
JP (1) JP5305907B2 (ja)
KR (1) KR100992036B1 (ja)
CN (1) CN101243556B (ja)
AT (1) ATE437449T1 (ja)
DE (1) DE602006008017D1 (ja)
TW (1) TWI412129B (ja)
WO (1) WO2007024984A2 (ja)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
JP4880958B2 (ja) * 2005-09-16 2012-02-22 株式会社東芝 半導体装置及びその製造方法
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
DE102005057073B4 (de) * 2005-11-30 2011-02-03 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
US8294224B2 (en) * 2006-04-06 2012-10-23 Micron Technology, Inc. Devices and methods to improve carrier mobility
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
KR100840661B1 (ko) * 2006-09-13 2008-06-24 동부일렉트로닉스 주식회사 반도체 소자 및 그의 제조방법
KR100773352B1 (ko) * 2006-09-25 2007-11-05 삼성전자주식회사 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
KR100827443B1 (ko) * 2006-10-11 2008-05-06 삼성전자주식회사 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법
US20080116525A1 (en) * 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
WO2008126264A1 (ja) * 2007-03-30 2008-10-23 Fujitsu Microelectronics Limited 半導体集積回路装置
US7718475B2 (en) * 2007-04-13 2010-05-18 Qimonda Ag Method for manufacturing an integrated circuit including a transistor
US20080290380A1 (en) * 2007-05-24 2008-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised spacers
TW200910526A (en) * 2007-07-03 2009-03-01 Renesas Tech Corp Method of manufacturing semiconductor device
US7732872B2 (en) * 2007-10-25 2010-06-08 International Business Machines Corporation Integration scheme for multiple metal gate work function structures
US7911008B2 (en) * 2007-10-25 2011-03-22 International Business Machines Corporation SRAM cell having a rectangular combined active area for planar pass gate and planar pull-down NFETS
US7741217B2 (en) * 2007-10-25 2010-06-22 International Business Machines Corporation Dual workfunction silicide diode
KR101408782B1 (ko) * 2008-02-15 2014-06-19 삼성전자주식회사 반도체 소자 제조 방법
FR2928029B1 (fr) * 2008-02-27 2011-04-08 St Microelectronics Crolles 2 Procede de fabrication d'un dispositif semi-conducteur a grille enterree et circuit integre correspondant.
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20090309163A1 (en) * 2008-06-11 2009-12-17 International Business Machines Corporation Method and structure for enhancing both nmosfet and pmosfet performance with a stressed film and discontinuity extending to underlying layer
US20110175168A1 (en) 2008-08-08 2011-07-21 Texas Instruments Incorporated Nmos transistor with enhanced stress gate
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8895426B2 (en) * 2009-06-12 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
JP2011054740A (ja) * 2009-09-01 2011-03-17 Toshiba Corp 半導体装置及びその製造方法
US8304841B2 (en) * 2009-09-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
CN102117808B (zh) * 2009-12-31 2013-03-13 中国科学院微电子研究所 具有改善的载流子迁移率的场效应晶体管器件及制造方法
US8330227B2 (en) 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
US8367508B2 (en) * 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
CN102299154B (zh) 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
JP5569243B2 (ja) * 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
JP5614184B2 (ja) * 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
US8952429B2 (en) * 2010-09-15 2015-02-10 Institute of Microelectronics, Chinese Academy of Sciences Transistor and method for forming the same
US9117843B2 (en) * 2011-09-14 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Device with engineered epitaxial region and methods of making same
US8546204B2 (en) * 2011-10-03 2013-10-01 International Business Machines Corporation Method for growing conformal epi layers and structure thereof
CN103094113B (zh) * 2011-10-31 2015-12-16 中芯国际集成电路制造(上海)有限公司 Nmos形成方法、cmos形成方法
US8772159B2 (en) * 2012-02-01 2014-07-08 United Microelectronics Corp. Method of fabricating electrical contact
CN103456691B (zh) * 2012-05-29 2015-07-29 中芯国际集成电路制造(上海)有限公司 Cmos的制造方法
US9076818B2 (en) * 2012-06-20 2015-07-07 GlobalFoundries, Inc. Semiconductor device fabrication methods
US9117930B2 (en) * 2013-08-06 2015-08-25 Globalfoundries Inc. Methods of forming stressed fin channel structures for FinFET semiconductor devices
US20160035891A1 (en) * 2014-07-31 2016-02-04 Qualcomm Incorporated Stress in n-channel field effect transistors
US9754935B2 (en) 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
US9947755B2 (en) * 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US9653602B1 (en) 2016-03-21 2017-05-16 International Business Machines Corporation Tensile and compressive fins for vertical field effect transistors
US9904758B2 (en) * 2016-05-18 2018-02-27 Samsung Electronics Co., Ltd. Using deep sub-micron stress effects and proximity effects to create a high performance standard cell
US10854591B2 (en) * 2016-11-04 2020-12-01 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same
CN107706097B (zh) * 2017-09-14 2019-03-22 长鑫存储技术有限公司 半导体器件结构及其制备方法
US11094795B2 (en) * 2018-11-20 2021-08-17 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
US20220102506A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Dual contact process with selective deposition
CN114864735B (zh) * 2022-05-11 2024-03-15 中南大学 基于飞秒激光的光电晶体管制备方法及晶体管阵列

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908327A (en) * 1988-05-02 1990-03-13 Texas Instruments, Incorporated Counter-doped transistor
JPH07211903A (ja) * 1993-12-02 1995-08-11 Fujitsu Ltd 半導体装置の製造方法
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JPH0923554A (ja) 1995-07-06 1997-01-21 Sumitomo Wiring Syst Ltd 電線用管状ゴム栓
JPH09232254A (ja) * 1996-02-23 1997-09-05 Sumitomo Metal Ind Ltd 電極材料及びその製造方法
KR19980024663A (ko) * 1996-09-18 1998-07-06 윌리엄 비. 켐플러 규화물 영역 형성 방법
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
TW406374B (en) * 1997-07-17 2000-09-21 Ibm Method for forming transistors with raised source and drains and device formed thereby
US5952701A (en) * 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5994191A (en) * 1998-07-09 1999-11-30 Advanced Micro Devices, Inc. Elevated source/drain salicide CMOS technology
US6074915A (en) * 1998-08-17 2000-06-13 Taiwan Semiconductor Manufacturing Company Method of making embedded flash memory with salicide and sac structure
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP2002043151A (ja) 2000-07-25 2002-02-08 Matsushita Electric Works Ltd 非接触充電用トランス及び充電式電動機器セットの製造方法
US6555437B1 (en) * 2001-04-27 2003-04-29 Advanced Micro Devices, Inc. Multiple halo implant in a MOSFET with raised source/drain structure
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2004235426A (ja) * 2003-01-30 2004-08-19 Seiko Epson Corp シリサイド膜の形成方法および半導体装置の製造方法
AU2003208030A1 (en) 2003-02-06 2004-08-30 Eugene Technology Co., Ltd. Heater of chemical vapor deposition apparatus for manufacturing a thin film
WO2004070804A1 (ja) * 2003-02-07 2004-08-19 Nec Corporation ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6987061B2 (en) * 2003-08-19 2006-01-17 Texas Instruments Incorporated Dual salicide process for optimum performance
US6914303B2 (en) * 2003-08-28 2005-07-05 International Business Machines Corporation Ultra thin channel MOSFET
JP2005085949A (ja) * 2003-09-08 2005-03-31 Semiconductor Leading Edge Technologies Inc 半導体装置およびその製造方法
JP2005123604A (ja) * 2003-09-25 2005-05-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
DE102004026142B3 (de) * 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
JP5236676B2 (ja) * 2010-03-18 2013-07-17 ルネサスエレクトロニクス株式会社 スタティック・ランダム・アクセス・メモリ

Also Published As

Publication number Publication date
US20090134470A1 (en) 2009-05-28
CN101243556B (zh) 2011-03-30
KR20080037666A (ko) 2008-04-30
CN101243556A (zh) 2008-08-13
KR100992036B1 (ko) 2010-11-05
DE602006008017D1 (de) 2009-09-03
WO2007024984A3 (en) 2008-01-17
JP2009506549A (ja) 2009-02-12
EP1929537A4 (en) 2008-10-08
WO2007024984A2 (en) 2007-03-01
US8405131B2 (en) 2013-03-26
US20070040225A1 (en) 2007-02-22
EP1929537A2 (en) 2008-06-11
TW200729496A (en) 2007-08-01
TWI412129B (zh) 2013-10-11
US7470943B2 (en) 2008-12-30
EP1929537B1 (en) 2009-07-22
ATE437449T1 (de) 2009-08-15

Similar Documents

Publication Publication Date Title
JP5305907B2 (ja) 応力が加えられたゲート金属シリサイド層を含む高性能mosfet及びその製造方法
US7504336B2 (en) Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US7358551B2 (en) Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
EP1872407B1 (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled cmos devices
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US6977194B2 (en) Structure and method to improve channel mobility by gate electrode stress modification
US20070018252A1 (en) Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20040173815A1 (en) Strained-channel transistor structure with lattice-mismatched zone
CN102110611B (zh) 具有改善的载流子迁移率的nmos的制造方法
US20090050972A1 (en) Strained Semiconductor Device and Method of Making Same
KR20080032220A (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
WO2012145025A2 (en) Source/drain extension control for advanced transistors
JP2007027747A (ja) 直接チャネル応力
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
JP4558841B2 (ja) 簡単化されたデュアル応力ライナ構成を用いる向上した性能をもつ半導体構造体
US7514317B2 (en) Strained semiconductor device and method of making same
US20060154413A1 (en) Self-forming metal silicide gate for cmos devices
US7544553B2 (en) Integration scheme for fully silicided gate

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090430

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120626

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121024

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130604

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130625

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees