US20220102506A1 - Dual contact process with selective deposition - Google Patents

Dual contact process with selective deposition Download PDF

Info

Publication number
US20220102506A1
US20220102506A1 US17/033,373 US202017033373A US2022102506A1 US 20220102506 A1 US20220102506 A1 US 20220102506A1 US 202017033373 A US202017033373 A US 202017033373A US 2022102506 A1 US2022102506 A1 US 2022102506A1
Authority
US
United States
Prior art keywords
drain region
interface material
transistor
source
source region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/033,373
Inventor
Kevin Cook
Anand S. Murthy
Gilbert Dewey
Nazila HARATIPOUR
Chi-hing Choi
Jitendra Kumar JHA
Srijit Mukherjee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US17/033,373 priority Critical patent/US20220102506A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COOK, KEVIN, HARATIPOUR, NAZILA, DEWEY, GILBERT, MURTHY, ANAND S., CHOI, CHI-HING, JHA, Jitendra Kumar, MUKHERJEE, Srijit
Priority to DE102021121670.2A priority patent/DE102021121670A1/en
Publication of US20220102506A1 publication Critical patent/US20220102506A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects

Definitions

  • Embodiments of the present disclosure relate to semiconductor devices, and more particularly to CMOS devices with a P-type source/drain region covered by a germanium layer and an N-type source/drain region covered by a TiSi layer.
  • CMOS complementary metal-oxide-semiconductor
  • CMOS devices there are several options that may be used to reduce the resistance at the metal-semiconductor interface in CMOS devices.
  • One solution is to use a compromise approach, where the metal chosen yields a good resistance value for one type of source/drain region.
  • Such embodiments result in the other type of source/drain region resulting in a higher resistance.
  • Another solution is to increase the doping level of the source/drain regions.
  • doping cannot be increased endlessly. At high doping concentrations, the dopants begin to form clusters instead of distributing evenly through the semiconductor. High dopant concentrations also may result in diffusion into the channel, which increases off-state current.
  • Yet another solution is to insert a layer of germanium over the P-type source/drain regions.
  • FIG. 1A is a pair of cross-sectional illustrations of a CMOS device with a P-type transistor and an N-type transistor, in accordance with an embodiment.
  • FIG. 1B is a pair of cross-sectional illustrations of the CMOS device after a first interface layer is disposed over the first and second source/drain regions, in accordance with an embodiment.
  • FIG. 1C is a pair of cross-sectional illustrations of the CMOS device after the first interface layer is selectively removed from over the second source/drain region, in accordance with an embodiment.
  • FIG. 1D is a pair of cross-sectional illustrations of the CMOS device after a second interface layer is selectively deposited over the second source/drain region, in accordance with an embodiment.
  • FIG. 1E is a pair of cross-sectional illustrations of the CMOS device after a protective layer over the first interface layer is removed, in accordance with an embodiment.
  • FIG. 1F is a pair of cross-sectional illustrations of the CMOS device after a contact metal is disposed over the first interface layer and the second interface layer, in accordance with an embodiment.
  • FIG. 2A is a set of cross-sectional illustrations of a CMOS device that includes a gate-all-around (GAA) structure, in accordance with an embodiment.
  • GAA gate-all-around
  • FIG. 2B is a set of cross-sectional illustrations of the CMOS device after a first interface layer is disposed over the first and second source/drain regions, in accordance with an embodiment.
  • FIG. 2C is a set of cross-sectional illustrations of the CMOS device after the first interface layer is selectively removed from the second source/drain region, in accordance with an embodiment.
  • FIG. 2D is a set of cross-sectional illustrations of the CMOS device after a second interface layer is disposed over the second source/drain region, in accordance with an embodiment.
  • FIG. 2E is a set of cross-sectional illustrations of the CMOS device after a protective layer over the first interface layer is removed, in accordance with an embodiment.
  • FIG. 2F is a set of cross-sectional illustrations of the CMOS device after a contact layer is disposed over the first interface layer and the second interface layer, in accordance with an embodiment.
  • FIG. 3 illustrates a computing device in accordance with one implementation of an embodiment of the disclosure.
  • FIG. 4 is an interposer implementing one or more embodiments of the disclosure.
  • CMOS devices with a P-type source/drain region covered by a germanium layer and an N-type source/drain region covered by a TiSi layer, in accordance with various embodiments.
  • various aspects of the illustrative implementations will be described using terms commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art.
  • the present invention may be practiced with only some of the described aspects.
  • specific numbers, materials and configurations are set forth in order to provide a thorough understanding of the illustrative implementations.
  • the present invention may be practiced without the specific details.
  • well-known features are omitted or simplified in order not to obscure the illustrative implementations.
  • a relatively significant source of the resistance in the transistors is at the interface between the epitaxially grown source/drain region (a semiconductor) and the metal contact.
  • the resistance at the semiconductor-metal interface may be minimized through selecting compatible materials that reduce the Schottky barrier at the interface.
  • CMOS complimentary metal-oxide-semiconductor
  • N-type source/drain regions and P-type source/drain regions are included in the device.
  • the materials for minimizing the resistance of the N-type source/drain regions and the P-type source/drain regions are different. Accordingly, in existing solutions, a compromise of the performance of one of the source/drain regions is necessary.
  • embodiments disclosed herein include a CMOS device that provides a first interface layer over the P-type source/drain regions and a second interface layer over the N-type source/drain regions.
  • the first interface layer comprises germanium
  • the second interface material comprises TiSi.
  • FIGS. 1A-1F a tri-gate transistor architecture with optimized contact interfaces for the P-type and N-type source/drain regions is shown.
  • FIGS. 2A-2F illustrate a gate-all-around (GAA) architecture with optimized contact interfaces for the P-type and N-type source/drain regions.
  • GAA gate-all-around
  • Cross-section 140 is a fin cut centered on the source/drain regions 115 and 116 . That is, the fins 110 extend into and out of the plane of FIG. 1A .
  • Cross-section 141 is a poly cut centered on a fin. As shown, the first source/drain region 115 is adjacent to a channel region 122 , and the second source/drain region 116 is adjacent to a channel region 123 .
  • first source/drain region 115 may be a first conductivity type
  • second source/drain region 116 may be a second conductivity type that is opposite from the first conductivity type.
  • the first source/drain region 115 may be P-type
  • the second source/drain region may be N-type.
  • the first source/drain region 115 couples together a first number of fins 110
  • the second source/drain region 116 couples together a second number of fins 110 .
  • the first number of fins 110 may be different than the second number of fins 110 , or the first number of fins 110 may be the same as the second number of fins 110 .
  • the first number of fins 110 is two
  • the second number of fins is three.
  • the first number of fins 110 and the second number of fins 110 may comprise any number of fins 110 .
  • the fins 110 may be high aspect ratio fins that extend up from a substrate (not shown).
  • the fins 110 and the substrate may be semiconductor material, such as silicon, or any other suitable semiconductor material (e.g., a group III-V semiconductor material).
  • the fins may have a tapered profile in some embodiments. That is, a bottom of the fin 110 may be wider than a top of the fin 110 .
  • the fins 110 may be separated from each other by an isolation layer 112 .
  • the isolation layer 112 may extend up from the substrate (not shown).
  • the top of the isolation layer 112 may be recessed below a top of the fins 110 .
  • the first source/drain region 115 may be an epitaxially grown semiconductor material that is grown over a top surface of the fins 110 .
  • the source/drain region 115 may merge together in order to electrically couple the fins 110 together.
  • two fins 110 are electrically coupled by the first source/drain region 115 .
  • three fins 110 are electrically coupled by the second source/drain region 116 .
  • the shapes of the source/drain regions 115 and 116 may be dependent on the conductivity type of the source/drain region 115 or 116 .
  • the first source/drain region 115 when the first source/drain region 115 is a P-type material, the first source/drain region 115 may have a diamond like shape over each fin 110 .
  • the second source/drain region 116 when the second source/drain region 116 is an N-type material, the second source/drain region 116 may have a substantially planar top surface.
  • the first source/drain region 115 may be separated from the second source/drain region 116 by an isolator 114 .
  • the isolator 114 may comprise an insulator filled trench that extends down between the fins 110 .
  • an isolator 114 is shown between the ends of the first source/drain region 115 and the second source/drain region 116 .
  • the isolator 114 may be between isolation regions 113 .
  • the first interface layer 161 may be a material that is selected to provide a relatively low resistance interface between the first source/drain region 115 and the first interface layer 161 .
  • the first interface layer 161 may comprise germanium.
  • the first interface layer 161 may be a boron doped germanium material.
  • other materials e.g., semiconductors or metals may also be used as the first interface layer 161 , depending on the conductivity type, dopant concentration, etc. of the first source/drain region 115 .
  • the first interface layer 161 may be grown with an epitaxial growth process. As such, the first interface layer 161 may be disposed over exposed semiconductor materials of the first source/drain region 115 and the second source/drain region 116 . In an embodiment, the first interface layer 161 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the first interface layer 161 may be between approximately 3 nm and approximately 7 nm.
  • a protective layer 171 may be disposed over the first interface layer 161 .
  • the protective layer 171 may be an oxide, a nitride, or the like.
  • the protective layer 171 is deposited with a conformal deposition process.
  • the conformal deposition process may include an atomic layer deposition (ALD) process.
  • FIG. 1C a pair of cross-sectional illustrations 140 and 141 of the CMOS device after the first interface layer 161 and the protective layer 171 is removed from over the second source/drain region 116 is shown, in accordance with an embodiment.
  • the selective removal of portions of the protective layer 171 and the first interface layer 161 may be implemented using a lithography and etching process.
  • a mask e.g., a carbon hardmask
  • An etching process e.g., a wet etch
  • the mask may then be removed with an ashing process, or the like.
  • the second interface layer 162 may be selectively deposited over the second source/drain region 116 .
  • a selective chemical vapor deposition (CVD) process that deposits on the exposed semiconductor of the second source/drain region 116 may be used.
  • the selective CVD process may not deposit on dielectric materials, such as the protective layer 171 .
  • the second interface layer 162 may comprise a material that reduces the resistance at the interface between the second interface layer 162 and the second source/drain region 116 .
  • the second interface layer 162 may comprise TiSi.
  • the second interface layer 162 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the second interface layer 162 may be between approximately 3 nm and approximately 7 nm.
  • a first thickness of the first interface layer 161 may be substantially similar to a second thickness of the second interface layer 162 .
  • FIG. 1E a pair of cross-sectional illustrations 140 and 141 of the CMOS device after the protective layer 171 is removed is shown, in accordance with an embodiment.
  • the protective layer 171 may be removed with an etching process, such as a wet etching process.
  • FIG. 1F a pair of cross-sectional illustrations 140 and 141 of the CMOS device after a contact layer 181 is provided over the interface layers 161 and 162 is shown, in accordance with an embodiment.
  • the contact layer 181 is shown as a thin layer that is conformally deposited.
  • a contact fill material may be deposited to fill the trenches. Such a contact fill material may be recessed using existing downstream processes, as is common in the art.
  • the contact layer 181 may comprise tungsten or any other suitable metal.
  • the structure disclosed in the processing operations described in FIGS. 1A-1F provide a clearly discernable structure that can be identified using various analysis techniques. Particularly, TEM and EDX analysis will show that the first source/drain region 115 has a first interface layer 161 between the first source/drain region 115 and the contact 181 , and the second source/drain region 116 has a second (different) interface layer 162 between the second source/drain region 116 and the contact 181 . Additionally, such analysis may be used to show that a first thickness of the first interface layer 161 is substantially similar to a second thickness of the second interface layer 162 .
  • first interface layer 161 being deposited first, followed by the second interface layer 162 , embodiments are not limited to such process flows. Instead, the second interface layer 162 may be deposited first, the second interface layer 162 may be removed from the first source/drain region 115 , and the first interface layer 161 may be deposited over the first source/drain region 115 .
  • FIGS. 1A-1F the processing operations are implemented on a tri-gate transistor structure.
  • embodiments are not limited to such configurations.
  • gate-all-around (GAA) structures may also benefit from embodiments disclosed herein.
  • FIGS. 2A-2F sets of cross-sectional illustrations of a CMOS device with a GAA architecture are shown.
  • a process is shown that allows for the reduction of the contact resistance by optimizing the material interfaces of both the P-type source/drain region and the N-type source/drain region while keeping a uniform contact height.
  • cross-section 250 is a fin cut centered on the source/drain regions 215 and 216
  • the cross-section 251 is a gate cut centered on the second source/drain region 216
  • the cross-section 252 is a gate cut centered on the first source/drain region 215 .
  • FIG. 2A a set of cross-sectional illustrations 250 , 251 , and 252 of a GAA CMOS device is shown, in accordance with an embodiment.
  • a first source/drain region 215 is separated from a second source/drain region 216 by an isolator 214 .
  • the source/drain regions 215 and 216 may be coupled to nanowires or nanoribbons 222 / 223 .
  • the nanowires or nanoribbons 222 / 223 may be surrounded by a gate dielectric 291 and a gate metal 292 .
  • the gate metal 292 may comprise a workfunction metal and a gate fill metal in some embodiments.
  • the first source/drain region 215 may be a first conductivity type
  • the second source/drain region 216 may be a second conductivity type that is opposite from the first conductivity type.
  • the first conductivity type may be P-type
  • the second conductivity type may be N-type.
  • the first interface layer 261 may be a material that is selected to provide a relatively low resistance interface between the first source/drain region 215 and the first interface layer 261 .
  • the first interface layer 261 may comprise germanium.
  • the first interface layer 261 may be a boron doped germanium material.
  • other materials e.g., semiconductors or metals may also be used as the first interface layer 261 , depending on the conductivity type, dopant concentration, etc. of the first source/drain region 215 .
  • the first interface layer 261 may be grown with an epitaxial growth process. As such, the first interface layer 261 may be selectively disposed over exposed semiconductor materials of the first source/drain region 215 and the second source/drain region 216 . In an embodiment, the first interface layer 261 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the first interface layer 261 may be between approximately 3 nm and approximately 7 nm.
  • a protective layer 271 may be disposed over the first interface layer 261 .
  • the protective layer 271 may be an oxide, a nitride, or the like.
  • the protective layer 271 is deposited with a conformal deposition process.
  • the conformal deposition process may include an atomic layer deposition (ALD) process.
  • FIG. 2C a set of cross-sectional illustrations 250 , 251 , and 252 of the CMOS device after the first interface layer 261 is removed from the second source/drain region 216 is shown, in accordance with an embodiment.
  • the selective removal of portions of the protective layer 271 and the first interface layer 261 may be implemented using a lithography and etching process.
  • a mask e.g., a carbon hardmask
  • An etching process e.g., a wet etch
  • the mask may then be removed with an ashing process, or the like.
  • the second interface layer 262 may be selectively deposited over the second source/drain region 216 .
  • a selective chemical vapor deposition (CVD) process that deposits on the exposed semiconductor of the second source/drain region may be used.
  • the selective CVD process may not deposit on dielectric materials, such as the protective layer 271 .
  • the second interface layer 262 may comprise a material that reduces the resistance at the interface between the second interface layer 262 and the second source/drain region 216 .
  • the second interface layer 262 may comprise TiSi.
  • the second interface layer 262 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the second interface layer 262 may be between approximately 3 nm and approximately 7 nm.
  • a first thickness of the first interface layer 261 may be substantially similar to a second thickness of the second interface layer 262 .
  • FIG. 2E a set of cross-sectional illustrations 250 , 251 , and 252 of the CMOS device after the protective layer 271 is removed is shown, in accordance with an embodiment.
  • the protective layer 271 may be removed with an etching process, such as a wet etching process.
  • FIG. 2F a set of cross-sectional illustrations 250 , 251 , and 252 of the CMOS device after a contact layer 281 is provided over the interface layers 261 and 262 is shown, in accordance with an embodiment.
  • the contact layer 281 is shown as a thin layer that is conformally deposited.
  • a contact fill material may be deposited to fill the trenches. Such a contact fill material may be recessed using existing downstream processes, as is common in the art.
  • the contact layer 181 may comprise tungsten or any other suitable metal.
  • the structure disclosed in the processing operations described in FIGS. 2A-2F provide a clearly discernable structure that can be identified using various analysis techniques. Particularly, TEM and EDX analysis will show that the first source/drain region 215 has a first interface layer 261 between the first source/drain region 215 and the contact 281 , and the second source/drain region 216 has a second (different) interface layer 262 between the second source/drain region 216 and the contact 281 . Additionally, such analysis may be used to show that a first thickness of the first interface layer 261 is substantially similar to a second thickness of the second interface layer 262 .
  • FIG. 3 illustrates a computing device 300 in accordance with one implementation of an embodiment of the disclosure.
  • the computing device 300 houses a board 302 .
  • the board 302 may include a number of components, including but not limited to a processor 304 and at least one communication chip 306 .
  • the processor 304 is physically and electrically coupled to the board 302 .
  • the at least one communication chip 306 is also physically and electrically coupled to the board 302 .
  • the communication chip 306 is part of the processor 304 .
  • computing device 300 may include other components that may or may not be physically and electrically coupled to the board 302 .
  • these other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an
  • the communication chip 306 enables wireless communications for the transfer of data to and from the computing device 300 .
  • the term “wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 306 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 300 may include a plurality of communication chips 306 .
  • a first communication chip 306 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 306 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 304 of the computing device 300 includes an integrated circuit die packaged within the processor 304 .
  • the integrated circuit die of the processor may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, such as those described herein.
  • the term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 306 also includes an integrated circuit die packaged within the communication chip 306 .
  • the integrated circuit die of the communication chip may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, such as those described herein.
  • another component housed within the computing device 300 may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, and a second source/drain region is covered by the second metal layer, such as those described herein.
  • the computing device 300 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 300 may be any other electronic device that processes data.
  • FIG. 4 illustrates an interposer 400 that includes one or more embodiments of the disclosure.
  • the interposer 400 is an intervening substrate used to bridge a first substrate 402 to a second substrate 404 .
  • the first substrate 402 may be, for instance, an integrated circuit die.
  • the second substrate 404 may be, for instance, a memory module, a computer motherboard, or another integrated circuit die.
  • one of both of the first substrate 402 and the second substrate 404 may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, in accordance with embodiments described herein.
  • an interposer 400 may couple an integrated circuit die to a ball grid array (BGA) 406 that can subsequently be coupled to the second substrate 404 .
  • BGA ball grid array
  • the first and second substrates 402 / 404 are attached to opposing sides of the interposer 400 .
  • the first and second substrates 402 / 404 are attached to the same side of the interposer 400 .
  • three or more substrates are interconnected by way of the interposer 400 .
  • the interposer 400 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide.
  • the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials
  • the interposer 400 may include metal interconnects 408 and vias 410 , including but not limited to through-silicon vias (TSVs) 412 .
  • the interposer 400 may further include embedded devices 414 , including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on the interposer 400 .
  • RF radio-frequency
  • apparatuses or processes disclosed herein may be used in the fabrication of interposer 400 .
  • embodiments of the present disclosure may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, and the resulting structures.
  • Example 1 a complementary metal-oxide-semiconductor (CMOS) device, comprising: a first transistor with a first conductivity type, wherein the first transistor comprises: a first source region and a first drain region; and a first interface material over the first source region and the first drain region; and a second transistor with a second conductivity type that is opposite form the first conductivity type, wherein the second transistor comprises: a second source region and a second drain region; and a second interface material over the second source region and the second drain region.
  • CMOS complementary metal-oxide-semiconductor
  • Example 2 the CMOS device of Example 1, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 3 the CMOS device of Example 1 or Example 2, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
  • Example 4 the CMOS device of Example 3, wherein the first thickness is substantially equal to the second thickness.
  • Example 5 the CMOS device of Examples 1-4, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
  • Example 6 the CMOS device of Examples 1-4, wherein the first conductivity type is N-type, and wherein the second conductivity type is P-type.
  • Example 7 the CMOS device of Examples 1-6, wherein the first transistor and the second transistor are non-planar devices.
  • Example 8 the CMOS device of Example 7, wherein the non-planar devices are tri-gate devices.
  • Example 9 the CMOS device of Example 7, wherein the non-planar devices are gate-all-around (GAA) devices.
  • GAA gate-all-around
  • Example 10 the CMOS device of Examples 1-9, wherein the first transistor comprises a first number of fins, and wherein the second transistor comprises a second number of fins.
  • Example 11 the CMOS device of Example 10, wherein the second number of fins is greater than the first number of fins.
  • Example 12 the CMOS device of Examples 1-11, wherein the first source region and the first drain region comprise a diamond shaped region.
  • Example 13 a complementary metal-oxide-semiconductor (CMOS), comprising: a first non-planar transistor of a first conductivity type, wherein the first non-planar transistor comprises: a first fin extending up from a substrate; a second fin extending up from the substrate; a first source region connecting the first fin to the second fin; a first drain region connecting the first fin to the second fin; a first interface material over the first source region and the first drain region; and first contacts connected to the first interface material over the first source region and the first drain region; and a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the second non-planar transistor comprises: a third fin extending up from the substrate; a fourth fin extending up from the substrate; a fifth fin extending up from the substrate; a second source region connecting the third fin, the fourth fin, and the fifth fin together; a second drain region connecting the third fin, the fourth fin, and the fifth fin together; a second interface material over the
  • Example 14 the CMOS device of Example 13, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
  • Example 15 the CMOS device of Example 13 or Example 14, wherein the first contacts and the second contacts comprise tungsten.
  • Example 16 the CMOS device of Examples 13-15, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 17 the CMOS device of Examples 13-16, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
  • Example 18 the CMOS device of Example 17, wherein the first thickness is substantially equal to the second thickness.
  • Example 19 a method of forming a complementary metal-oxide-semiconductor (CMOS) device, comprising: providing a first non-planar transistor of a first conductivity type and a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the first non-planar transistor comprises a first source region and a first drain region, and wherein the second non-planar transistor comprises a second source region and a second drain region; depositing a first interface material over the first source region, the first drain region, the second source region, and the second drain region; depositing a protective layer over the first interface material; forming a mask over the first source region and the first drain region, wherein the second source region and the second drain region are exposed; removing the protective layer and the first interface material from the second source region and the second drain region; removing the mask; selectively depositing a second interface material over only the second source region and the second drain region; removing the protective layer; and depositing a contact metal over the first interface material
  • Example 20 the method of Example 19, wherein the first interface material is deposited with an epitaxial growth process.
  • Example 21 the method of Example 19 or Example 20, wherein the second interface material is deposited with a chemical vapor deposition process that only deposits on the second source region and the second drain region.
  • Example 22 the method of Example 21, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 23 the method of Examples 19-22, wherein the first interface material comprises a first thickness, and the second interface material comprises a second thickness that is substantially equal to the first thickness.
  • Example 24 an electronic device, comprising: a board; a package substrate attached to the board; and a die electrically coupled to the package substrate, wherein the die comprises a complimentary metal-oxide-semiconductor (CMOS) device, wherein the CMOS device comprises: a first transistor with a first interface material between a first source region and a first contact; and a second transistor with a second interface material between a second source region and a second contact.
  • CMOS complimentary metal-oxide-semiconductor
  • Example 25 the electronic device of Example 24, wherein the first transistor is a P-type transistor, and wherein the second transistor is an N-type transistor.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Embodiments disclosed herein include complementary metal-oxide-semiconductor (CMOS) devices and methods of making such devices. In an embodiment, a CMOS device comprises a first transistor with a first conductivity type, where the first transistor comprises a first source region and a first drain region, and a first interface material over the first source region and the first drain region. In an embodiment, the CMOS device further comprises a second transistor with a second conductivity type that is opposite form the first conductivity type, where the second transistor comprises a second source region and a second drain region, and a second interface material over the second source region and the second drain region.

Description

    TECHNICAL FIELD
  • Embodiments of the present disclosure relate to semiconductor devices, and more particularly to CMOS devices with a P-type source/drain region covered by a germanium layer and an N-type source/drain region covered by a TiSi layer.
  • BACKGROUND
  • Resistance in a transistor is undesirable because it reduces drive current and reduces efficiency. As such, high resistance transistors result in degraded performance. The interface between metal and semiconductor is a key source of undesired resistance through a transistor. Selecting the proper metal materials to decrease the resistance is not without issue. Particularly, in a complementary metal-oxide-semiconductor (CMOS) device, there are N-type source/drain regions and P-type source/drain regions. To minimize resistance in both conductivity types, different metals would be required.
  • Currently, there are several options that may be used to reduce the resistance at the metal-semiconductor interface in CMOS devices. One solution is to use a compromise approach, where the metal chosen yields a good resistance value for one type of source/drain region. However, such embodiments result in the other type of source/drain region resulting in a higher resistance. Another solution is to increase the doping level of the source/drain regions. However, doping cannot be increased endlessly. At high doping concentrations, the dopants begin to form clusters instead of distributing evenly through the semiconductor. High dopant concentrations also may result in diffusion into the channel, which increases off-state current. Yet another solution is to insert a layer of germanium over the P-type source/drain regions. However, if germanium is deposited on top of the P-type source/drain regions and no further treatment is done to the N-type source/drain region, then the heights of the PMOS and NMOS contacts will be very different. This impacts the yield, especially in a self-aligned gate electrode (SAGE) architecture, where the contact height needs to be carefully controlled to prevent open and short circuits.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a pair of cross-sectional illustrations of a CMOS device with a P-type transistor and an N-type transistor, in accordance with an embodiment.
  • FIG. 1B is a pair of cross-sectional illustrations of the CMOS device after a first interface layer is disposed over the first and second source/drain regions, in accordance with an embodiment.
  • FIG. 1C is a pair of cross-sectional illustrations of the CMOS device after the first interface layer is selectively removed from over the second source/drain region, in accordance with an embodiment.
  • FIG. 1D is a pair of cross-sectional illustrations of the CMOS device after a second interface layer is selectively deposited over the second source/drain region, in accordance with an embodiment.
  • FIG. 1E is a pair of cross-sectional illustrations of the CMOS device after a protective layer over the first interface layer is removed, in accordance with an embodiment.
  • FIG. 1F is a pair of cross-sectional illustrations of the CMOS device after a contact metal is disposed over the first interface layer and the second interface layer, in accordance with an embodiment.
  • FIG. 2A is a set of cross-sectional illustrations of a CMOS device that includes a gate-all-around (GAA) structure, in accordance with an embodiment.
  • FIG. 2B is a set of cross-sectional illustrations of the CMOS device after a first interface layer is disposed over the first and second source/drain regions, in accordance with an embodiment.
  • FIG. 2C is a set of cross-sectional illustrations of the CMOS device after the first interface layer is selectively removed from the second source/drain region, in accordance with an embodiment.
  • FIG. 2D is a set of cross-sectional illustrations of the CMOS device after a second interface layer is disposed over the second source/drain region, in accordance with an embodiment.
  • FIG. 2E is a set of cross-sectional illustrations of the CMOS device after a protective layer over the first interface layer is removed, in accordance with an embodiment.
  • FIG. 2F is a set of cross-sectional illustrations of the CMOS device after a contact layer is disposed over the first interface layer and the second interface layer, in accordance with an embodiment.
  • FIG. 3 illustrates a computing device in accordance with one implementation of an embodiment of the disclosure.
  • FIG. 4 is an interposer implementing one or more embodiments of the disclosure.
  • EMBODIMENTS OF THE PRESENT DISCLOSURE
  • Described herein are CMOS devices with a P-type source/drain region covered by a germanium layer and an N-type source/drain region covered by a TiSi layer, in accordance with various embodiments. In the following description, various aspects of the illustrative implementations will be described using terms commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art. However, it will be apparent to those skilled in the art that the present invention may be practiced with only some of the described aspects. For purposes of explanation, specific numbers, materials and configurations are set forth in order to provide a thorough understanding of the illustrative implementations. However, it will be apparent to one skilled in the art that the present invention may be practiced without the specific details. In other instances, well-known features are omitted or simplified in order not to obscure the illustrative implementations.
  • Various operations will be described as multiple discrete operations, in turn, in a manner that is most helpful in understanding the present invention, however, the order of description should not be construed to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation.
  • As noted above, the reduction of resistance within transistors allows for improved drive current. A relatively significant source of the resistance in the transistors is at the interface between the epitaxially grown source/drain region (a semiconductor) and the metal contact. The resistance at the semiconductor-metal interface may be minimized through selecting compatible materials that reduce the Schottky barrier at the interface. In complimentary metal-oxide-semiconductor (CMOS) devices, N-type source/drain regions and P-type source/drain regions are included in the device. The materials for minimizing the resistance of the N-type source/drain regions and the P-type source/drain regions are different. Accordingly, in existing solutions, a compromise of the performance of one of the source/drain regions is necessary.
  • Accordingly, embodiments disclosed herein include a CMOS device that provides a first interface layer over the P-type source/drain regions and a second interface layer over the N-type source/drain regions. In a particular embodiment, the first interface layer comprises germanium, and the second interface material comprises TiSi. The inclusion of an interface material over both the P-type source/drain regions and the N-type source/drain regions allows for the contact height to remain uniform. As such, yield is improved since it is easier to control the contact height to minimize opens and/or short circuits.
  • As those skilled in the art will appreciate, the processes disclosed herein may be implemented on any non-planar transistor architecture. For example, in FIGS. 1A-1F, a tri-gate transistor architecture with optimized contact interfaces for the P-type and N-type source/drain regions is shown. Additionally, FIGS. 2A-2F illustrate a gate-all-around (GAA) architecture with optimized contact interfaces for the P-type and N-type source/drain regions.
  • Referring now to FIG. 1A, a pair of cross-sectional illustrations of a CMOS device are shown, in accordance with an embodiment. Cross-section 140 is a fin cut centered on the source/ drain regions 115 and 116. That is, the fins 110 extend into and out of the plane of FIG. 1A. Cross-section 141 is a poly cut centered on a fin. As shown, the first source/drain region 115 is adjacent to a channel region 122, and the second source/drain region 116 is adjacent to a channel region 123.
  • In an embodiment, first source/drain region 115 may be a first conductivity type, and second source/drain region 116 may be a second conductivity type that is opposite from the first conductivity type. For example, the first source/drain region 115 may be P-type, and the second source/drain region may be N-type. In the illustrated embodiment, the first source/drain region 115 couples together a first number of fins 110, and the second source/drain region 116 couples together a second number of fins 110. In an embodiment, the first number of fins 110 may be different than the second number of fins 110, or the first number of fins 110 may be the same as the second number of fins 110. In the particular embodiment illustrated in FIG. 1A, the first number of fins 110 is two, and the second number of fins is three. However, it is to be appreciated that the first number of fins 110 and the second number of fins 110 may comprise any number of fins 110.
  • In an embodiment, the fins 110 may be high aspect ratio fins that extend up from a substrate (not shown). The fins 110 and the substrate may be semiconductor material, such as silicon, or any other suitable semiconductor material (e.g., a group III-V semiconductor material). The fins may have a tapered profile in some embodiments. That is, a bottom of the fin 110 may be wider than a top of the fin 110. In an embodiment, the fins 110 may be separated from each other by an isolation layer 112. The isolation layer 112 may extend up from the substrate (not shown). The top of the isolation layer 112 may be recessed below a top of the fins 110.
  • In an embodiment, the first source/drain region 115 may be an epitaxially grown semiconductor material that is grown over a top surface of the fins 110. In the case of multiple fins 110, the source/drain region 115 may merge together in order to electrically couple the fins 110 together. For example, two fins 110 are electrically coupled by the first source/drain region 115. Similarly, three fins 110 are electrically coupled by the second source/drain region 116. In an embodiment, the shapes of the source/ drain regions 115 and 116 may be dependent on the conductivity type of the source/ drain region 115 or 116. For example, when the first source/drain region 115 is a P-type material, the first source/drain region 115 may have a diamond like shape over each fin 110. When the second source/drain region 116 is an N-type material, the second source/drain region 116 may have a substantially planar top surface.
  • In an embodiment, the first source/drain region 115 may be separated from the second source/drain region 116 by an isolator 114. The isolator 114 may comprise an insulator filled trench that extends down between the fins 110. In cross-section 141, an isolator 114 is shown between the ends of the first source/drain region 115 and the second source/drain region 116. The isolator 114 may be between isolation regions 113.
  • Referring now to FIG. 1B, a pair of cross-sectional illustrations 140 and 141 of the CMOS device after a first interface layer 161 is disposed over the exposed surfaces is shown, in accordance with an embodiment. In an embodiment, the first interface layer 161 may be a material that is selected to provide a relatively low resistance interface between the first source/drain region 115 and the first interface layer 161. In an embodiment, where the first source/drain region 115 is a P-type semiconductor, the first interface layer 161 may comprise germanium. In a particular embodiment, the first interface layer 161 may be a boron doped germanium material. However, it is to be appreciated that other materials (e.g., semiconductors or metals) may also be used as the first interface layer 161, depending on the conductivity type, dopant concentration, etc. of the first source/drain region 115.
  • In an embodiment, the first interface layer 161 may be grown with an epitaxial growth process. As such, the first interface layer 161 may be disposed over exposed semiconductor materials of the first source/drain region 115 and the second source/drain region 116. In an embodiment, the first interface layer 161 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the first interface layer 161 may be between approximately 3 nm and approximately 7 nm.
  • In an embodiment, a protective layer 171 may be disposed over the first interface layer 161. The protective layer 171 may be an oxide, a nitride, or the like. In a particular embodiment, the protective layer 171 is deposited with a conformal deposition process. The conformal deposition process may include an atomic layer deposition (ALD) process.
  • Referring now to FIG. 1C, a pair of cross-sectional illustrations 140 and 141 of the CMOS device after the first interface layer 161 and the protective layer 171 is removed from over the second source/drain region 116 is shown, in accordance with an embodiment. The selective removal of portions of the protective layer 171 and the first interface layer 161 may be implemented using a lithography and etching process. For example, a mask (e.g., a carbon hardmask) may be deposited and patterned to form an opening over the second source/drain region 116 while protecting the first source/drain region 115. An etching process (e.g., a wet etch) may then be used to remove the protective layer 171 and the first interface layer 161. The mask may then be removed with an ashing process, or the like.
  • Referring now to FIG. 1D, a pair of cross-sectional illustrations 140 and 141 of the CMOS device after a second interface layer 162 is formed is shown, in accordance with an embodiment. In an embodiment, the second interface layer 162 may be selectively deposited over the second source/drain region 116. For example, a selective chemical vapor deposition (CVD) process that deposits on the exposed semiconductor of the second source/drain region 116 may be used. The selective CVD process may not deposit on dielectric materials, such as the protective layer 171.
  • In an embodiment, the second interface layer 162 may comprise a material that reduces the resistance at the interface between the second interface layer 162 and the second source/drain region 116. For example, the second interface layer 162 may comprise TiSi. In an embodiment, the second interface layer 162 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the second interface layer 162 may be between approximately 3 nm and approximately 7 nm. In order to provide improved contact height uniformity between the PMOS device and the NMOS device, a first thickness of the first interface layer 161 may be substantially similar to a second thickness of the second interface layer 162.
  • Referring now to FIG. 1E, a pair of cross-sectional illustrations 140 and 141 of the CMOS device after the protective layer 171 is removed is shown, in accordance with an embodiment. In an embodiment, the protective layer 171 may be removed with an etching process, such as a wet etching process.
  • Referring now to FIG. 1F, a pair of cross-sectional illustrations 140 and 141 of the CMOS device after a contact layer 181 is provided over the interface layers 161 and 162 is shown, in accordance with an embodiment. In the illustrated embodiment, the contact layer 181 is shown as a thin layer that is conformally deposited. Those skilled in the art will appreciate that a contact fill material may be deposited to fill the trenches. Such a contact fill material may be recessed using existing downstream processes, as is common in the art. In an embodiment, the contact layer 181 may comprise tungsten or any other suitable metal.
  • The structure disclosed in the processing operations described in FIGS. 1A-1F provide a clearly discernable structure that can be identified using various analysis techniques. Particularly, TEM and EDX analysis will show that the first source/drain region 115 has a first interface layer 161 between the first source/drain region 115 and the contact 181, and the second source/drain region 116 has a second (different) interface layer 162 between the second source/drain region 116 and the contact 181. Additionally, such analysis may be used to show that a first thickness of the first interface layer 161 is substantially similar to a second thickness of the second interface layer 162.
  • Additionally, while shown with the first interface layer 161 being deposited first, followed by the second interface layer 162, embodiments are not limited to such process flows. Instead, the second interface layer 162 may be deposited first, the second interface layer 162 may be removed from the first source/drain region 115, and the first interface layer 161 may be deposited over the first source/drain region 115.
  • In FIGS. 1A-1F the processing operations are implemented on a tri-gate transistor structure. However, it is to be appreciated that embodiments are not limited to such configurations. For example, gate-all-around (GAA) structures may also benefit from embodiments disclosed herein.
  • Referring now to FIGS. 2A-2F, sets of cross-sectional illustrations of a CMOS device with a GAA architecture are shown. In FIGS. 2A-2F, a process is shown that allows for the reduction of the contact resistance by optimizing the material interfaces of both the P-type source/drain region and the N-type source/drain region while keeping a uniform contact height. In FIGS. 2A-2F, cross-section 250 is a fin cut centered on the source/ drain regions 215 and 216, the cross-section 251 is a gate cut centered on the second source/drain region 216, and the cross-section 252 is a gate cut centered on the first source/drain region 215.
  • Referring now to FIG. 2A, a set of cross-sectional illustrations 250, 251, and 252 of a GAA CMOS device is shown, in accordance with an embodiment. As shown in cross-section 250, a first source/drain region 215 is separated from a second source/drain region 216 by an isolator 214. As shown, in cross-sections 251 and 252, the source/ drain regions 215 and 216 may be coupled to nanowires or nanoribbons 222/223. The nanowires or nanoribbons 222/223 may be surrounded by a gate dielectric 291 and a gate metal 292. The gate metal 292 may comprise a workfunction metal and a gate fill metal in some embodiments.
  • In an embodiment, the first source/drain region 215 may be a first conductivity type, and the second source/drain region 216 may be a second conductivity type that is opposite from the first conductivity type. For example, the first conductivity type may be P-type, and the second conductivity type may be N-type.
  • Referring now to FIG. 2B, a set of cross-sectional illustrations 250, 251, and 252 of the CMOS device after a first interface layer 261 is deposited over the first source/drain region 215 and the second source/drain region 216 is shown, in accordance with an embodiment. In an embodiment, the first interface layer 261 may be a material that is selected to provide a relatively low resistance interface between the first source/drain region 215 and the first interface layer 261. In an embodiment, where the first source/drain region 215 is a P-type semiconductor, the first interface layer 261 may comprise germanium. In a particular embodiment, the first interface layer 261 may be a boron doped germanium material. However, it is to be appreciated that other materials (e.g., semiconductors or metals) may also be used as the first interface layer 261, depending on the conductivity type, dopant concentration, etc. of the first source/drain region 215.
  • In an embodiment, the first interface layer 261 may be grown with an epitaxial growth process. As such, the first interface layer 261 may be selectively disposed over exposed semiconductor materials of the first source/drain region 215 and the second source/drain region 216. In an embodiment, the first interface layer 261 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the first interface layer 261 may be between approximately 3 nm and approximately 7 nm.
  • In an embodiment, a protective layer 271 may be disposed over the first interface layer 261. The protective layer 271 may be an oxide, a nitride, or the like. In a particular embodiment, the protective layer 271 is deposited with a conformal deposition process. The conformal deposition process may include an atomic layer deposition (ALD) process.
  • Referring now to FIG. 2C, a set of cross-sectional illustrations 250, 251, and 252 of the CMOS device after the first interface layer 261 is removed from the second source/drain region 216 is shown, in accordance with an embodiment. The selective removal of portions of the protective layer 271 and the first interface layer 261 may be implemented using a lithography and etching process. For example, a mask (e.g., a carbon hardmask) may be deposited and patterned to form an opening over the second source/drain region 216 while protecting the first source/drain region 215. An etching process (e.g., a wet etch) may then be used to remove the protective layer 271 and the first interface layer 261. The mask may then be removed with an ashing process, or the like.
  • Referring now to FIG. 2D, a set of cross-sectional illustrations 250, 251, and 252 of the CMOS device after a second interface layer 262 is formed is shown, in accordance with an embodiment. In an embodiment, the second interface layer 262 may be selectively deposited over the second source/drain region 216. For example, a selective chemical vapor deposition (CVD) process that deposits on the exposed semiconductor of the second source/drain region may be used. The selective CVD process may not deposit on dielectric materials, such as the protective layer 271.
  • In an embodiment, the second interface layer 262 may comprise a material that reduces the resistance at the interface between the second interface layer 262 and the second source/drain region 216. For example, the second interface layer 262 may comprise TiSi. In an embodiment, the second interface layer 262 may have a thickness that is between approximately 0 nm and approximately 10 nm. In a particular embodiment, the thickness of the second interface layer 262 may be between approximately 3 nm and approximately 7 nm. In order to provide improved contact height uniformity between the PMOS device and the NMOS device, a first thickness of the first interface layer 261 may be substantially similar to a second thickness of the second interface layer 262.
  • Referring now to FIG. 2E, a set of cross-sectional illustrations 250, 251, and 252 of the CMOS device after the protective layer 271 is removed is shown, in accordance with an embodiment. In an embodiment, the protective layer 271 may be removed with an etching process, such as a wet etching process.
  • Referring now to FIG. 2F, a set of cross-sectional illustrations 250, 251, and 252 of the CMOS device after a contact layer 281 is provided over the interface layers 261 and 262 is shown, in accordance with an embodiment. In the illustrated embodiment, the contact layer 281 is shown as a thin layer that is conformally deposited. Those skilled in the art will appreciate that a contact fill material may be deposited to fill the trenches. Such a contact fill material may be recessed using existing downstream processes, as is common in the art. In an embodiment, the contact layer 181 may comprise tungsten or any other suitable metal.
  • The structure disclosed in the processing operations described in FIGS. 2A-2F provide a clearly discernable structure that can be identified using various analysis techniques. Particularly, TEM and EDX analysis will show that the first source/drain region 215 has a first interface layer 261 between the first source/drain region 215 and the contact 281, and the second source/drain region 216 has a second (different) interface layer 262 between the second source/drain region 216 and the contact 281. Additionally, such analysis may be used to show that a first thickness of the first interface layer 261 is substantially similar to a second thickness of the second interface layer 262.
  • FIG. 3 illustrates a computing device 300 in accordance with one implementation of an embodiment of the disclosure. The computing device 300 houses a board 302. The board 302 may include a number of components, including but not limited to a processor 304 and at least one communication chip 306. The processor 304 is physically and electrically coupled to the board 302. In some implementations the at least one communication chip 306 is also physically and electrically coupled to the board 302. In further implementations, the communication chip 306 is part of the processor 304.
  • Depending on its applications, computing device 300 may include other components that may or may not be physically and electrically coupled to the board 302. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • The communication chip 306 enables wireless communications for the transfer of data to and from the computing device 300. The term “wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 306 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 300 may include a plurality of communication chips 306. For instance, a first communication chip 306 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 306 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • The processor 304 of the computing device 300 includes an integrated circuit die packaged within the processor 304. In an embodiment, the integrated circuit die of the processor may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, such as those described herein. The term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • The communication chip 306 also includes an integrated circuit die packaged within the communication chip 306. In an embodiment, the integrated circuit die of the communication chip may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, such as those described herein.
  • In further implementations, another component housed within the computing device 300 may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, and a second source/drain region is covered by the second metal layer, such as those described herein.
  • In various implementations, the computing device 300 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 300 may be any other electronic device that processes data.
  • FIG. 4 illustrates an interposer 400 that includes one or more embodiments of the disclosure. The interposer 400 is an intervening substrate used to bridge a first substrate 402 to a second substrate 404. The first substrate 402 may be, for instance, an integrated circuit die. The second substrate 404 may be, for instance, a memory module, a computer motherboard, or another integrated circuit die. In an embodiment, one of both of the first substrate 402 and the second substrate 404 may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, in accordance with embodiments described herein. Generally, the purpose of an interposer 400 is to spread a connection to a wider pitch or to reroute a connection to a different connection. For example, an interposer 400 may couple an integrated circuit die to a ball grid array (BGA) 406 that can subsequently be coupled to the second substrate 404. In some embodiments, the first and second substrates 402/404 are attached to opposing sides of the interposer 400. In other embodiments, the first and second substrates 402/404 are attached to the same side of the interposer 400. And in further embodiments, three or more substrates are interconnected by way of the interposer 400.
  • The interposer 400 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In further implementations, the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials
  • The interposer 400 may include metal interconnects 408 and vias 410, including but not limited to through-silicon vias (TSVs) 412. The interposer 400 may further include embedded devices 414, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on the interposer 400. In accordance with embodiments of the disclosure, apparatuses or processes disclosed herein may be used in the fabrication of interposer 400.
  • Thus, embodiments of the present disclosure may comprise a CMOS device with optimized contact interfaces, where a first source/drain region is covered by a first interface layer, and a second source/drain region is covered by a second interface layer, and the resulting structures.
  • The above description of illustrated implementations of the invention, including what is described in the Abstract, is not intended to be exhaustive or to limit the invention to the precise forms disclosed. While specific implementations of, and examples for, the invention are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize.
  • These modifications may be made to the invention in light of the above detailed description. The terms used in the following claims should not be construed to limit the invention to the specific implementations disclosed in the specification and the claims. Rather, the scope of the invention is to be determined entirely by the following claims, which are to be construed in accordance with established doctrines of claim interpretation.
  • Example 1: a complementary metal-oxide-semiconductor (CMOS) device, comprising: a first transistor with a first conductivity type, wherein the first transistor comprises: a first source region and a first drain region; and a first interface material over the first source region and the first drain region; and a second transistor with a second conductivity type that is opposite form the first conductivity type, wherein the second transistor comprises: a second source region and a second drain region; and a second interface material over the second source region and the second drain region.
  • Example 2: the CMOS device of Example 1, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 3: the CMOS device of Example 1 or Example 2, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
  • Example 4: the CMOS device of Example 3, wherein the first thickness is substantially equal to the second thickness.
  • Example 5: the CMOS device of Examples 1-4, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
  • Example 6: the CMOS device of Examples 1-4, wherein the first conductivity type is N-type, and wherein the second conductivity type is P-type.
  • Example 7: the CMOS device of Examples 1-6, wherein the first transistor and the second transistor are non-planar devices.
  • Example 8: the CMOS device of Example 7, wherein the non-planar devices are tri-gate devices.
  • Example 9: the CMOS device of Example 7, wherein the non-planar devices are gate-all-around (GAA) devices.
  • Example 10: the CMOS device of Examples 1-9, wherein the first transistor comprises a first number of fins, and wherein the second transistor comprises a second number of fins.
  • Example 11: the CMOS device of Example 10, wherein the second number of fins is greater than the first number of fins.
  • Example 12: the CMOS device of Examples 1-11, wherein the first source region and the first drain region comprise a diamond shaped region.
  • Example 13: a complementary metal-oxide-semiconductor (CMOS), comprising: a first non-planar transistor of a first conductivity type, wherein the first non-planar transistor comprises: a first fin extending up from a substrate; a second fin extending up from the substrate; a first source region connecting the first fin to the second fin; a first drain region connecting the first fin to the second fin; a first interface material over the first source region and the first drain region; and first contacts connected to the first interface material over the first source region and the first drain region; and a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the second non-planar transistor comprises: a third fin extending up from the substrate; a fourth fin extending up from the substrate; a fifth fin extending up from the substrate; a second source region connecting the third fin, the fourth fin, and the fifth fin together; a second drain region connecting the third fin, the fourth fin, and the fifth fin together; a second interface material over the second source region and the second drain region; and second contacts connected to the first interface material over the second source region and the second drain region.
  • Example 14: the CMOS device of Example 13, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
  • Example 15: the CMOS device of Example 13 or Example 14, wherein the first contacts and the second contacts comprise tungsten.
  • Example 16: the CMOS device of Examples 13-15, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 17: the CMOS device of Examples 13-16, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
  • Example 18: the CMOS device of Example 17, wherein the first thickness is substantially equal to the second thickness.
  • Example 19: a method of forming a complementary metal-oxide-semiconductor (CMOS) device, comprising: providing a first non-planar transistor of a first conductivity type and a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the first non-planar transistor comprises a first source region and a first drain region, and wherein the second non-planar transistor comprises a second source region and a second drain region; depositing a first interface material over the first source region, the first drain region, the second source region, and the second drain region; depositing a protective layer over the first interface material; forming a mask over the first source region and the first drain region, wherein the second source region and the second drain region are exposed; removing the protective layer and the first interface material from the second source region and the second drain region; removing the mask; selectively depositing a second interface material over only the second source region and the second drain region; removing the protective layer; and depositing a contact metal over the first interface material and the second interface material.
  • Example 20: the method of Example 19, wherein the first interface material is deposited with an epitaxial growth process.
  • Example 21: the method of Example 19 or Example 20, wherein the second interface material is deposited with a chemical vapor deposition process that only deposits on the second source region and the second drain region.
  • Example 22: the method of Example 21, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
  • Example 23: the method of Examples 19-22, wherein the first interface material comprises a first thickness, and the second interface material comprises a second thickness that is substantially equal to the first thickness.
  • Example 24: an electronic device, comprising: a board; a package substrate attached to the board; and a die electrically coupled to the package substrate, wherein the die comprises a complimentary metal-oxide-semiconductor (CMOS) device, wherein the CMOS device comprises: a first transistor with a first interface material between a first source region and a first contact; and a second transistor with a second interface material between a second source region and a second contact.
  • Example 25: the electronic device of Example 24, wherein the first transistor is a P-type transistor, and wherein the second transistor is an N-type transistor.

Claims (25)

What is claimed is:
1. A complementary metal-oxide-semiconductor (CMOS) device, comprising:
a first transistor with a first conductivity type, wherein the first transistor comprises:
a first source region and a first drain region; and
a first interface material over the first source region and the first drain region; and
a second transistor with a second conductivity type that is opposite form the first conductivity type, wherein the second transistor comprises:
a second source region and a second drain region; and
a second interface material over the second source region and the second drain region.
2. The CMOS device of claim 1, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
3. The CMOS device of claim 1, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
4. The CMOS device of claim 3, wherein the first thickness is substantially equal to the second thickness.
5. The CMOS device of claim 1, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
6. The CMOS device of claim 1, wherein the first conductivity type is N-type, and wherein the second conductivity type is P-type.
7. The CMOS device of claim 1, wherein the first transistor and the second transistor are non-planar devices.
8. The CMOS device of claim 7, wherein the non-planar devices are tri-gate devices.
9. The CMOS device of claim 7, wherein the non-planar devices are gate-all-around (GAA) devices.
10. The CMOS device of claim 1, wherein the first transistor comprises a first number of fins, and wherein the second transistor comprises a second number of fins.
11. The CMOS device of claim 10, wherein the second number of fins is greater than the first number of fins.
12. The CMOS device of claim 1, wherein the first source region and the first drain region comprise a diamond shaped region.
13. A complementary metal-oxide-semiconductor (CMOS), comprising:
a first non-planar transistor of a first conductivity type, wherein the first non-planar transistor comprises:
a first fin extending up from a substrate;
a second fin extending up from the substrate;
a first source region connecting the first fin to the second fin;
a first drain region connecting the first fin to the second fin;
a first interface material over the first source region and the first drain region; and
first contacts connected to the first interface material over the first source region and the first drain region; and
a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the second non-planar transistor comprises:
a third fin extending up from the substrate;
a fourth fin extending up from the substrate;
a fifth fin extending up from the substrate;
a second source region connecting the third fin, the fourth fin, and the fifth fin together;
a second drain region connecting the third fin, the fourth fin, and the fifth fin together;
a second interface material over the second source region and the second drain region; and
second contacts connected to the first interface material over the second source region and the second drain region.
14. The CMOS device of claim 13, wherein the first conductivity type is P-type, and wherein the second conductivity type is N-type.
15. The CMOS device of claim 13, wherein the first contacts and the second contacts comprise tungsten.
16. The CMOS device of claim 13, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
17. The CMOS device of claim 13, wherein a first thickness of the first interface material is between approximately 1 nm and approximately 10 nm, and wherein a second thickness of the second interface material is between approximately 1 nm and approximately 10 nm.
18. The CMOS device of claim 17, wherein the first thickness is substantially equal to the second thickness.
19. A method of forming a complementary metal-oxide-semiconductor (CMOS) device, comprising:
providing a first non-planar transistor of a first conductivity type and a second non-planar transistor of a second conductivity type that is opposite from the first conductivity type, wherein the first non-planar transistor comprises a first source region and a first drain region, and wherein the second non-planar transistor comprises a second source region and a second drain region;
depositing a first interface material over the first source region, the first drain region, the second source region, and the second drain region;
depositing a protective layer over the first interface material;
forming a mask over the first source region and the first drain region, wherein the second source region and the second drain region are exposed;
removing the protective layer and the first interface material from the second source region and the second drain region;
removing the mask;
selectively depositing a second interface material over only the second source region and the second drain region;
removing the protective layer; and
depositing a contact metal over the first interface material and the second interface material.
20. The method of claim 19, wherein the first interface material is deposited with an epitaxial growth process.
21. The method of claim 19, wherein the second interface material is deposited with a chemical vapor deposition process that only deposits on the second source region and the second drain region.
22. The method of claim 21, wherein the first interface material comprises germanium, and wherein the second interface material comprises TiSi.
23. The method of claim 19, wherein the first interface material comprises a first thickness, and the second interface material comprises a second thickness that is substantially equal to the first thickness.
24. An electronic device, comprising:
a board;
a package substrate attached to the board; and
a die electrically coupled to the package substrate, wherein the die comprises a complimentary metal-oxide-semiconductor (CMOS) device, wherein the CMOS device comprises:
a first transistor with a first interface material between a first source region and a first contact; and
a second transistor with a second interface material between a second source region and a second contact.
25. The electronic device of claim 24, wherein the first transistor is a P-type transistor, and wherein the second transistor is an N-type transistor.
US17/033,373 2020-09-25 2020-09-25 Dual contact process with selective deposition Pending US20220102506A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US17/033,373 US20220102506A1 (en) 2020-09-25 2020-09-25 Dual contact process with selective deposition
DE102021121670.2A DE102021121670A1 (en) 2020-09-25 2021-08-20 DUAL CONTACT PROCESS WITH SELECTIVE DEPOSITION

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/033,373 US20220102506A1 (en) 2020-09-25 2020-09-25 Dual contact process with selective deposition

Publications (1)

Publication Number Publication Date
US20220102506A1 true US20220102506A1 (en) 2022-03-31

Family

ID=80624761

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/033,373 Pending US20220102506A1 (en) 2020-09-25 2020-09-25 Dual contact process with selective deposition

Country Status (2)

Country Link
US (1) US20220102506A1 (en)
DE (1) DE102021121670A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11616143B2 (en) * 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250301A1 (en) * 2004-05-04 2005-11-10 International Business Machines Corporation Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
US20070040225A1 (en) * 2005-08-22 2007-02-22 Yang Haining S High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20090184341A1 (en) * 2008-01-17 2009-07-23 Chartered Semiconductor Manufacturing, Ltd. Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US20110062526A1 (en) * 2009-09-14 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US20180233505A1 (en) * 2017-02-13 2018-08-16 Globalfoundries Inc. Self-aligned sacrificial epitaxial capping for trench silicide
US20180261598A1 (en) * 2017-03-10 2018-09-13 International Business Machines Corporation Low resistance source/drain contacts for complementary metal oxide semiconductor (cmos) devices
US20180277541A1 (en) * 2017-03-22 2018-09-27 International Business Machines Corporation Contact formation in semiconductor devices
US20210104524A1 (en) * 2019-10-02 2021-04-08 Samsung Electronics Co., Ltd. Semiconductor device
US20230402546A1 (en) * 2022-06-09 2023-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250301A1 (en) * 2004-05-04 2005-11-10 International Business Machines Corporation Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
US20070040225A1 (en) * 2005-08-22 2007-02-22 Yang Haining S High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20090184341A1 (en) * 2008-01-17 2009-07-23 Chartered Semiconductor Manufacturing, Ltd. Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US20110062526A1 (en) * 2009-09-14 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate transistor, integrated circuits, systems, and fabrication methods thereof
US20180233505A1 (en) * 2017-02-13 2018-08-16 Globalfoundries Inc. Self-aligned sacrificial epitaxial capping for trench silicide
US20180261598A1 (en) * 2017-03-10 2018-09-13 International Business Machines Corporation Low resistance source/drain contacts for complementary metal oxide semiconductor (cmos) devices
US20180277541A1 (en) * 2017-03-22 2018-09-27 International Business Machines Corporation Contact formation in semiconductor devices
US20210104524A1 (en) * 2019-10-02 2021-04-08 Samsung Electronics Co., Ltd. Semiconductor device
US20230402546A1 (en) * 2022-06-09 2023-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11616143B2 (en) * 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof

Also Published As

Publication number Publication date
DE102021121670A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
US20210408246A1 (en) Contact resistance reduction in transistor devices with metallization on both sides
US11205707B2 (en) Optimizing gate profile for performance and gate fill
KR102351550B1 (en) Apparatus and methods of forming fin structures with sidewall liner
US20220285342A1 (en) Deep trench via for three-dimensional integrated circuit
US20220102510A1 (en) Dual contact process with stacked metal layers
US10529827B2 (en) Long channel MOS transistors for low leakage applications on a short channel CMOS chip
US20210407902A1 (en) Halogen treatment for nmos contact resistance improvement
US20220102506A1 (en) Dual contact process with selective deposition
EP4109523A1 (en) Buried power rail with a silicide layer for well biasing
US20220416027A1 (en) Nanoribbon sub-fin isolation by backside si substrate removal etch selective to source and drain epitaxy
US10636907B2 (en) Deep EPI enabled by backside reveal for stress enhancement and contact
CN114649334A (en) Thin film transistor with backside channel contact for high density memory
US11721766B2 (en) Metal-assisted single crystal transistors
US11646352B2 (en) Stacked source-drain-gate connection and process for forming such
US20220416041A1 (en) Nanoribbon subfin isolation by backside silicon substrate removal with epi protection
US20220416057A1 (en) Fin to fin trench contact through a metal gate cut
US20240113017A1 (en) Plug in a metal layer
US20230317850A1 (en) Non-epitaxial electrical coupling between a front side trench connector and back side contacts of a transistor
US20220416044A1 (en) Lateral confinement of source drain epitaxial growth in non-planar transistor for cell height scaling
US20230087444A1 (en) Lateral diodes in stacked transistor technologies
US20220415792A1 (en) Inverse taper via to self-aligned gate contact
US11152290B2 (en) Wide bandgap group IV subfin to reduce leakage
KR20230043687A (en) A silicon rich capping layer pre-amorphized with germanium and boron implants for thermal stability and low pmos contact resistivity
KR20230043039A (en) LOW TEMPERATURE, HIGH GERMANIUM, HIGH BORON SiGe:B pEPI WITH A SILICON RICH CAPPING LAYER FOR ULTRA LOW PMOS CONTACT RESISTIVITY AND THERMAL STABILITY
WO2023121819A1 (en) Epi barrier aligned backside contact

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COOK, KEVIN;MURTHY, ANAND S.;DEWEY, GILBERT;AND OTHERS;SIGNING DATES FROM 20201203 TO 20210428;REEL/FRAME:056190/0127

STCT Information on status: administrative procedure adjustment

Free format text: PROSECUTION SUSPENDED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED