WO2006043531A1 - 基板支持・搬送用トレイ - Google Patents

基板支持・搬送用トレイ Download PDF

Info

Publication number
WO2006043531A1
WO2006043531A1 PCT/JP2005/019091 JP2005019091W WO2006043531A1 WO 2006043531 A1 WO2006043531 A1 WO 2006043531A1 JP 2005019091 W JP2005019091 W JP 2005019091W WO 2006043531 A1 WO2006043531 A1 WO 2006043531A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
substrate support
tray
heat treatment
cap
Prior art date
Application number
PCT/JP2005/019091
Other languages
English (en)
French (fr)
Inventor
Masami Shibagaki
Yasumi Kurematsu
Original Assignee
Canon Anelva Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corporation filed Critical Canon Anelva Corporation
Priority to EP05795512A priority Critical patent/EP1811559A4/en
Priority to US11/665,446 priority patent/US7780440B2/en
Priority to JP2006542992A priority patent/JP4453984B2/ja
Publication of WO2006043531A1 publication Critical patent/WO2006043531A1/ja
Priority to US12/632,161 priority patent/US8147242B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Definitions

  • the present invention relates to a substrate supporting and conveying tray placed on a substrate supporting member provided in a processing chamber in which a heat treatment for a semiconductor substrate is performed, and a substrate is placed on the upper side.
  • the present invention relates to a substrate supporting and conveying tray which is placed on a substrate supporting member provided with heating means for substrate heating processing and in which a semiconductor substrate is placed on the upper side.
  • the semiconductor substrate may be subjected to heat treatment in a state where the semiconductor substrate is placed on the substrate support / transport tray having the substrate support portion on the upper side.
  • the substrate 1 is placed on a substrate supporting and conveying tray 18 having a substrate supporting portion on the upper side, and this is heated by a heating means 4 for heating the semiconductor substrate.
  • the substrate 1 may be subjected to a heat treatment in a vacuum state or an atmospheric pressure state on the substrate support member 2 in which is incorporated.
  • the substrate 1 is used for the purpose of heating the substrate 1 uniformly, and the substrate 1 that has been subjected to the force heat treatment is processed.
  • the substrate support 'transport tray 18 can be supported by a transport robot, etc. There is no place to be. Therefore, after all, there is a problem that the transfer process cannot be performed until the temperature of the substrate 1 is lowered.
  • FIG. 7 (b) there is a substrate support portion on the upper side, and the outer peripheral edge 18d has a built-in heating means 4 for heating the substrate.
  • a substrate supporting / transporting tray 18a having a plate-like shape and a large diameter larger than the peripheral edge 2a is adopted.
  • Such a prior art is described in, for example, Japanese Patent Application Laid-Open No. 2002-2695.
  • the outer peripheral edge 18d is larger than the outer peripheral edge 2a of the substrate support member 2 which has built-in heating means 4 for heating the substrate 1.
  • the bottom of the outer peripheral edge 18c of the substrate support / transport tray 18a (the lower side in FIG. 7 (b)) can be supported by a fork whose front end is bifurcated.
  • the substrate is supported at the forked end portion of the fork of the transfer robot or the like without waiting for the temperature of the substrate 1 to decrease.
  • the substrate support / transport tray 18a can be removed from above the substrate support member 2 by supporting the lower side (the lower side in FIG. 4B) of the outer peripheral edge 18c of the transport tray 18a. Then, another substrate support 'transport tray 18a on which a new substrate to be heat-treated next is placed on the substrate support portion is transported in the same manner and placed on the substrate support member 2. A new substrate heat treatment can be started. Thereby, the heat treatment of a plurality of substrates can be performed efficiently.
  • the outer peripheral edge 18d is more than the outer peripheral edge 2a of the substrate support member 2 as shown in FIG. 7B.
  • the substrate support' transport tray protruding from the outer peripheral edge 2a of the substrate support member 2 is used. Heat is radiated from the outer peripheral side 18c of 18a. Therefore, the temperature becomes uneven between the center side of the substrate support 'transport tray 18a and the outer peripheral side 18c of the substrate support' transport tray 18a. As a result, the substrate 1 can be heated uniformly. There was a problem that became difficult.
  • the present invention incorporates a substrate support member provided in a processing chamber in which a substrate (semiconductor substrate) is heated in a vacuum state or an atmospheric pressure state, particularly a heating means for heating the substrate.
  • a substrate support 'transport tray that is placed on the substrate support member and the substrate (semiconductor substrate) is placed on the upper side.
  • the heat treatment of the substrate it is more uniform on the substrate.
  • the heat treatment is completed, it can be easily removed from the substrate support member without waiting until the temperature of the substrate (semiconductor substrate) decreases, and the heat treatment is performed. It can be transported from one room to another for efficient use. It is an object of the present invention to provide a substrate supporting and carrying tray capable of performing heat treatment on a plurality of substrates.
  • the substrate support / transport tray proposed by the present invention is disposed in a processing chamber in which a heat treatment is performed on a substrate (semiconductor substrate)!
  • the tray has a disk-like substrate support part on the upper side, and A cylindrical side wall portion extending downward from the peripheral edge and an annular portion extending radially outward from the lower end side of the cylindrical side wall portion are provided.
  • the substrate support member provided in the processing chamber in which the heat treatment is performed on the substrate (semiconductor substrate) in a vacuum state or an atmospheric pressure state, in particular, the heating means for heating the substrate is incorporated.
  • This is a substrate support 'transport tray that is placed on the substrate support member and the substrate (semiconductor substrate) is placed on the upper side.
  • the heat treatment of the substrate more uniform heating to the substrate is possible.
  • the heat treatment is completed, it is easily removed from the substrate support member and transported from the heat treatment chamber to another without waiting until the temperature of the substrate decreases! / Therefore, it is possible to provide a substrate supporting / conveying tray capable of efficiently performing a heat treatment on a plurality of substrates.
  • FIG. 1 illustrates a first embodiment of the present invention.
  • a substrate support member 2 is disposed inside a processing chamber 11 in which a heat treatment is performed on the substrate 1.
  • the substrate support member 2 includes heating means 4 for heating the substrate, such as thermoelectron generating means for electron impact heating and infrared lamps for heating infrared lamps. Thereby, the heat treatment for the substrate 1 is performed in the processing chamber 11.
  • the heat treatment performed on the substrate 1 in the processing chamber 11 can be performed in a predetermined vacuum state in the processing chamber 11, or is performed in an atmospheric pressure state in the processing chamber 11.
  • the substrate support / transport tray 8 of the present invention is placed on the substrate support 2 and a substrate (for example, a Si substrate or a semiconductor substrate such as a SiC substrate) is placed on the upper side. is there.
  • the substrate support / transport tray 8 has a disk-shaped substrate support portion 8e (FIG. 3 (a)) on its upper side.
  • a cylindrical side wall 9 extends from the peripheral edge of the disk-shaped substrate support 8e toward the lower side.
  • the cylindrical side wall portion 9 extends vertically downward from the periphery of the disk-shaped substrate support member 8e along the outer periphery of the columnar substrate support member 2 (in FIG. 1, It can have a cylindrical shape extending to the lower side.
  • the diameter gradually increases from the periphery of the disk-shaped substrate support 8e toward the lower side in the vertical direction (lower side in FIG. 1) along the outer periphery of the cylindrical substrate support member 2. It spreads diagonally so that it becomes larger! /, In the form of a reverse funnel.
  • the cylindrical side wall portion 9 is arranged along the outer periphery of the substrate support member 2 as shown in FIG. It is preferable to form a cylindrical shape extending from the periphery of the disk-shaped substrate support 8e.
  • the annular portion 10 extends outward in the radial direction.
  • the forks 21a and 21b which are connected to the fork arms 23 and 24 and extend from the fork base end 22 in a bifurcated manner, extend below the annular portion 10 as shown in FIGS. 6 (a) and 6 (b). To support.
  • the substrate is supported by the forks 21a, 2 lb of the transfer robot, etc. without waiting for the temperature of the substrate 1 to decrease.
  • the substrate support / transport tray 8 can be removed from the substrate support member 2 while supporting the lower side of the annular portion 10. Then, a new substrate to be subjected to the next heat treatment is transported in a similar manner to another substrate support 'transport tray 8 placed on the disk-shaped substrate support 8e. By placing it on, a new substrate heat treatment can be started. Thereby, the heat treatment of a plurality of substrates can be performed efficiently.
  • the substrate support / transport tray 8 of the present invention is a cylinder that extends downward from the periphery of a disk-shaped substrate support 8e provided on the upper side.
  • the side wall portion 9 is formed. Therefore, when heating is performed through the substrate support member 2 by the heating means 4, compared to the case of the conventional substrate support 'transport trays 18 and 18a in the form shown in Figs. 7 (a) and 7 (b). In comparison, the temperature difference between the substrate support member 2 and the substrate support / transport tray 8 can be reduced. Furthermore, the temperature distribution in the surface of the substrate support 'transport tray 8 is also smaller than that of the conventional substrate support' transport trays 18 and 18a in the form shown in Figs. 7 (a) and 7 (b). can do. Therefore, it was possible to perform more uniform heating within the eight sides of the substrate support / transport tray.
  • FIGS. 3 and 4 the above-described effects can be exhibited even in a configuration in which only the cylindrical side wall portion 9 is not provided, and the annular portion 10 is not provided.
  • the annular portion 10 is not provided in the embodiment shown in FIG. 1, but only the cylindrical side wall portion 9 is provided.
  • the annular portion 10 is not provided in the embodiment shown in FIG. 2, but only the cylindrical side wall portion 9 is provided.
  • the temperature difference generated between the substrate support member 2 with the heating element 4 inside and the substrate support / transport tray 8 is further reduced, and the temperature distribution in the surface of the substrate support / transport tray 8 is further decreased. This is because it is preferable to perform more uniform heating within the surface of the substrate support / transport tray 8.
  • FIG. 5 (a) shows the substrate 1 placed on the substrate support / transport tray 8 when the cap 5 is placed on the substrate support / transport tray 8 when the heat treatment is performed.
  • a SiC substrate is covered with a cap 5, and the substrate 1 is sealed by the cap 5 and the substrate support / transport tray 8.
  • the space 3 in which the substrate 1 is disposed is sealed with the cap 5. That is, the substrate 1 is placed by placing the cap 5 on the substrate supporting and carrying tray 8 on which the substrate 1 to be heat-treated is placed, and the space 3 is sealed with the cap 5. .
  • the space 3 in which the substrate 1 is disposed is sealed as described above, and the radiant heat from the cap 5 is applied, so that the substrate 1 can be heated more efficiently. You It can be done.
  • FIG. 5 (b) is different from the embodiment shown in FIG. 5 (a) in that the cap 5 is hooked on the outer periphery of the upper end of the tray 8 for supporting and supporting the substrate on the lower end side of the side wall 5c.
  • the side wall inner diameter R2 of the cap 5 at the hooking step 6 is at least a plus tolerance larger than the outer diameter R3 of the cylindrical side wall 9 of the substrate support / transport tray 8. It is a point.
  • the substrate support 'conveying tray 8 of the present invention shown in FIG. 5 (b) has the above-described substrate support' conveying tray 8 of the present invention, and the substrate 1 is disposed on the upper side.
  • the cap 5 that has a step 6 and the side wall inner diameter (R2) of the cap at the latching step 6 is at least a plus tolerance larger than the outer diameter (R3) of the upper end of the substrate support. It is what.
  • the sealed state of the space 3 in which the substrate 1 is arranged is enhanced by the thermal expansion of the cap 5 and the substrate support / transport tray 8.
  • FIG. 5 (c) is different from the embodiment shown in FIG. 5 (b) in that the cap 5 has a coating layer 5b formed on the surface of the cap body 5a.
  • the coating layer 5b can be formed of a material capable of preventing gas release, for example, pyrolytic carbon (Pyrolytic Gr aphite, Pyrolytic Carbon), and the thickness of the coating layer 5b is preferably 10 to 50 ⁇ m. .
  • pyrolytic carbon Pyrolytic Gr aphite, Pyrolytic Carbon
  • FIG. 1 is a cross-sectional view with a part omitted illustrating a first embodiment of the present invention.
  • FIG. 2 is a cross-sectional view in which a part of the second embodiment of the present invention is omitted.
  • FIG. 3 is a partially omitted cross-sectional view illustrating another example of the first embodiment of the present invention shown in FIG. Figure.
  • FIG. 4 A cross-sectional view with a part omitted illustrating another example of the second embodiment of the present invention shown in FIG.
  • FIG. 5 (a), (b), (c) Sectional views in which a part of the third embodiment of the present invention is omitted. 6)
  • FIG. 6 is a front view for explaining a state of transporting the substrate support / transport tray of the present invention.
  • FIGS. 7A and 7B are cross-sectional views in which a part of a conventional substrate support / transport tray is omitted.

Abstract

【課題】基板に対する加熱処理が行われる処理室に配備されている基板支持部、特に、基板加熱用の加熱手段を内蔵している基板支持部の上に載置可能で、上側に基板が置かれる基板支持・搬送用のトレイであって、基板への加熱処理の際に、基板へのより均一な加熱を可能にし、同時に、加熱処理が終了したならば、基板の温度が低下するまで待つことなしに、簡単に、前記基板支持部から取り除き、加熱処理の行われた処理室から他へ搬送していくことが可能な基板支持・搬送用トレイを提供する。 【解決手段】上面側に円盤状の基板支持部を有し、当該円盤状の基板支持部の周縁から下側に向かって延びる筒状側壁部と、当該筒状側壁部の下端側から径方向で外側に延びる環状部とを備えている基板支持・搬送用トレイ。

Description

明 細 書
基板支持 ·搬送用トレイ
技術分野
[0001] この発明は、半導体基板に対する加熱処理が行われる処理室に配備されている基 板支持部材の上に載置され、上側に基板が置かれる基板支持'搬送用のトレイに関 する。特に、基板加熱処理用の加熱手段を内部に備えている基板支持部材の上に 載置され、上側に半導体基板が置かれる基板支持'搬送用のトレイに関する。
背景技術
[0002] 半導体製造装置において、真空状態あるいは大気圧状態の処理室内で半導体基 板への加熱処理を行う場合に、半導体基板への均一な加熱や、加熱処理完了後の 半導体基板の移送等を考慮して、上部側に基板支持部を有する基板支持 ·搬送用ト レイの上に半導体基板を置!、た状態で、半導体基板への加熱処理が行われることが ある。
[0003] 例えば、図 7 (a)図示のように、上部側に基板支持部を有する基板支持'搬送用トレ ィ 18の上に基板 1を置き、これを、半導体基板を加熱する加熱手段 4が内蔵されてい る基板支持部材 2の上において、真空状態あるいは大気圧状態で、基板 1への加熱 処理が行われることがある。
[0004] この図 7 (a)図示の形態は、基板 1を均一に加熱することを目的として基板支持'搬 送用トレイ 18を用いているものである力 加熱処理が完了した基板 1を処理室 (不図 示)内から取り出し、次に加熱処理を受ける新たな基板を処理室内に搬送して加熱 処理を行おうとするときに、基板支持'搬送用トレイ 18に搬送用ロボット等が支持でき る箇所がない。そこで、結局、基板 1の温度が低下するまで搬送処理を行うことができ ないという問題があった。
[0005] そこで、図 7 (b)図示のように、上部側に基板支持部を有し、外周縁 18dが、基板を 加熱する加熱手段 4が内蔵されて!ヽる基板支持部材 2の外周縁 2aより大き ヽ、平板 状で大径の基板支持 ·搬送用トレイ 18aが採用されるようになって 、る。このような先 行技術は、例えば、特開 2002— 2695などに記載されている。 [0006] このような形態の基板支持'搬送用トレイ 18aの場合、外周縁 18dが、基板 1を加熱 する加熱手段 4が内蔵されて!ヽる基板支持部材 2の外周縁 2aより大き ヽので、搬送 用ロボット等の先端が二股になっているフォークで、基板支持'搬送用トレイ 18aの外 周縁側 18cの下側(図 7 (b)中、下側)を支持することができる。
[0007] そこで、基板 1を加熱する処理が完了したならば、基板 1の温度が低下するのを待 つことなしに、搬送用ロボット等のフォークの二股になっている先端部分で基板支持' 搬送用トレイ 18aの外周縁側 18cの下側(図 4 (b)中、下側)を支持して、基板支持' 搬送用トレイ 18aを基板支持部材 2の上から取り外すことができる。そして、次に加熱 処理が行われる新たな基板が基板支持部の上に置かれている別の基板支持'搬送 用トレイ 18aを同様にして搬送してきて基板支持部材 2の上に置くことにより、新たな 基板の加熱処理を開始することができる。これにより、効率よく複数枚の基板の加熱 処理を行うことができる。
[0008] しかし、基板を加熱する加熱手段 4が内蔵されている基板支持部材 2の上に、図 7 ( b)図示の形態のように、外周縁 18dが基板支持部材 2の外周縁 2aより大きい、平板 状で大径の基板支持'搬送用トレイ 18aが置かれて基板 1の加熱処理が行われる場 合には、基板支持部材 2の外周縁 2aより突出している基板支持'搬送用トレイ 18aの 外周縁側 18cから熱が放射される。そこで、基板支持'搬送用トレイ 18aの中心部側 と、基板支持'搬送用トレイ 18aの外周縁側 18cとの間で温度が不均一になり、この結 果、基板 1の均一な加熱を行うことが難しくなるという問題があった。
発明の開示
発明が解決しょうとする課題
[0009] この発明は、真空状態あるいは大気圧状態で基板 (半導体基板)に対する加熱処 理が行われる処理室に配備されている基板支持部材、特に、基板加熱用の加熱手 段を内蔵している基板支持部材の上に載置され、上側に基板 (半導体基板)が置か れる基板支持'搬送用のトレイであって、基板 (半導体基板)への加熱処理の際に、 基板へのより均一な加熱を可能にし、同時に、加熱処理が終了したならば、基板(半 導体基板)の温度が低下するまで待つことなしに、簡単に、前記基板支持部材から 取り除き、加熱処理の行われた処理室から他へ搬送していくことが可能で、効率よく 複数枚の基板の加熱処理を行うことができる基板支持'搬送用トレイを提供することを 目的としている。
課題を解決するための手段
[0010] 前記課題を解決するため、この発明が提案する基板支持'搬送用トレイは、基板( 半導体基板)に対する加熱処理が行われる処理室に配備されて!ヽる基板支持部材 の上に載置され、上側に基板が置かれる基板支持'搬送用のトレイであって、上部側 に円盤状の基板支持部を有し、当該円盤状の基板支持部の周縁から下側に向かつ て延びる筒状側壁部と、当該筒状側壁部の下端側から径方向で外側に延びる環状 部とを備えて 、ることを特徴とするものである。
発明の効果
[0011] この発明によれば、真空状態あるいは大気圧状態で基板 (半導体基板)に対する 加熱処理が行われる処理室に配備されている基板支持部材、特に、基板加熱用の 加熱手段を内蔵している基板支持部材の上に載置され、上側に基板 (半導体基板) が置かれる基板支持'搬送用のトレイであって、基板への加熱処理の際に、基板へ のより均一な加熱を可能にし、同時に、加熱処理が終了したならば、基板の温度が 低下するまで待つことなしに、簡単に、前記基板支持部材から取り除き、加熱処理の 行われた処理室から他へ搬送して!/、くことが可能で、効率よく複数枚の基板の加熱 処理を行うことができる基板支持'搬送用トレイを提供することができる。
発明を実施するための最良の形態
[0012] 以下、添付図面を参照して本発明の好ましい実施形態を説明する。
[0013] 図 1は、この発明の第一の実施例を説明するものである。
[0014] 基板 1に対する加熱処理が行われる処理室 11の内部に基板支持部材 2が配備さ れている。基板支持部材 2は、電子衝撃加熱用の熱電子発生手段や、赤外線ランプ 加熱用の赤外線ランプなど力 なる基板加熱用の加熱手段 4を内部に備えて 、る。 これによつて、処理室 11内で基板 1に対する加熱処理が行われる。
[0015] 処理室 11内で基板 1に対して行われる加熱処理は、処理室 11内を所定の真空状 態にして行うこともできるし、処理室 11内を大気圧状態にしておいて行うこともできる [0016] 本発明の基板支持'搬送用トレイ 8は、この基板支持部 2の上に載置され、上側に 基板 (例えば、 Si基板や、 SiC基板のような半導体基板)が置かれるものである。
[0017] 基板支持'搬送用トレイ 8はその上部側に円盤状の基板支持部 8e (図 3 (a) )を有し ている。この円盤状の基板支持部 8eの周縁からは下側に向力つて筒状側壁部 9が 延びている。
[0018] 筒状側壁部 9は、図 1図示のように、円柱状の基板支持部材 2の外周に沿って、円 盤状の基板支持部 8eの周縁から鉛直方向下側(図 1中、下側)に延びる円筒状にす ることができる。また、図 2図示のように、円柱状の基板支持部材 2の外周に沿って、 円盤状の基板支持部 8eの周縁から鉛直方向下側(図 1中、下側)に向かうに従って しだいに径が大きくなるように、斜めに拡がって!/、く逆漏斗状の形態をして 、るもので ちょい。
[0019] ただし、加熱手段 4によって基板支持部材 2を介して加熱が行う際に、基板支持'搬 送用トレイ 8との間に生じる温度差、基板支持'搬送用トレイ 8の面内の温度分布を小 さくし、基板支持'搬送用トレイ 8面内でのより均一な加熱を可能にする上では、筒状 側壁部 9を、図 1図示のように、基板支持部材 2の外周に沿って、円盤状の基板支持 部 8eの周縁から延びる円筒状にすることが好ま 、。
[0020] 筒状側壁部 9の下端側からは、径方向で外側に向力つて環状部 10が延びている。
[0021] 円盤状の基板支持部 8eの上に図 1図示のように基板 1が載置されている基板支持 •搬送用トレィ 8を搬送ロボット等によって持ち上げ、搬送する際には、搬送ロボット等 の先端のアーム 23、 24に連結されて 、るフォーク基端部 22から二股に分かれて延 びるフォーク 21a、 21bが図 6 (a)、(b)図示のように環状部 10の下側を支持する。
[0022] そこで、基板 1を加熱する処理が完了したならば、基板 1の温度が低下するのを待 つことなしに、搬送用ロボット等のフォーク 21a、 2 lbで基板支持'搬送用トレイ 8の環 状部 10の下側を支持して、基板支持'搬送用トレイ 8を基板支持部材 2の上から取り 外すことができる。そして、次に加熱処理が行われる新たな基板が円盤状の基板支 持部 8eの上に置かれている別の基板支持'搬送用トレイ 8を同様にして搬送してきて 基板支持部材 2の上に置くことにより、新たな基板の加熱処理を開始することができ る。これにより、効率よく複数枚の基板の加熱処理を行うことができる。 [0023] 本発明の基板支持'搬送用トレイ 8は、図 1、図 2図示のように、上部側に備えられて いる円盤状の基板支持部 8eの周縁から下側に向力つて延びる筒状側壁部 9を有し ている。そこで、加熱手段 4によって基板支持部材 2を介して加熱が行われる際に、 図 7 (a)、 (b)図示のような形態の従来の基板支持'搬送用トレイ 18、 18aの場合に比 較して、基板支持部材 2と基板支持'搬送用トレイ 8との間に生じる温度差を小さくす ることができる。更に、基板支持'搬送用トレイ 8の面内の温度分布も、図 7 (a)、 (b) 図示のような形態の従来の基板支持'搬送用トレイ 18、 18aの場合に比較して小さく することができる。そこで、基板支持'搬送用トレイ 8面内でのより均一な加熱を可能 にすることができた。
[0024] なお、図 3、図 4のように、環状部 10を備えていない、筒状側壁部 9のみが配備され ている形態にしても前述した効果を発揮させることができる。図 3図示の実施形態は、 図 1図示の実施形態において、環状部 10を備えておらず、筒状側壁部 9のみを備え ているものである。図 4図示の実施形態は、図 2図示の実施形態において、環状部 1 0を備えておらず、筒状側壁部 9のみを備えているものである。ただし、図 1、図 2図示 の実施形態のように、環状部 10をも備えている形態にした方が有利である。発熱体 4 を内部に備えて ヽる基板支持部材 2と基板支持 ·搬送用トレイ 8との間に生じる温度 差を一層小さくし、基板支持'搬送用トレイ 8面内の温度分布を更に小さくして、基板 支持'搬送用トレイ 8面内でのより均一な加熱を行う上で好ましいからである。
[0025] 図 5 (a)は、加熱処理が行われる際に、基板支持 ·搬送用トレイ 8の上にキャップ 5が 置かれ、基板支持'搬送用トレイ 8上に載置されている基板 1 (例えば、 SiC基板)が キャップ 5によって覆われ、このキャップ 5と基板支持'搬送用トレイ 8とによって基板 1 が密封されるようになって ヽるものである。
[0026] これによつて、基板 1を加熱処理するにあたって、基板 1が配置されている空間 3を キャップ 5で密封するようにしたのである。すなわち、加熱処理する基板 1が載置され ている基板支持'搬送用トレイ 8の上にキャップ 5を被せることにより基板 1が配置され て 、る空間 3をキャップ 5で密封するようにしたのである。
[0027] このようにすれば、加熱処理の際には前記のように基板 1が配置されている空間 3 が密封され、また、キャップ 5からの輻射熱が加わるので、より効率よく基板 1を加熱す ることがでさる。
[0028] 図 5 (b)が、図 5 (a)図示の実施形態と相違しているのは、キャップ 5が側壁 5cの下 端側に基板支持 ·搬送用トレイ 8の上端外周に掛止される掛止段部 6を有し、掛止段 部 6におけるキャップ 5の側壁内径 R2が、基板支持'搬送用トレイ 8の筒状側壁部 9 の外径 R3より少なくともプラス公差分大きくなつている点である。
[0029] すなわち、図 5 (b)に図示されている本発明の基板支持'搬送用トレイ 8は、前述し た本発明の基板支持'搬送用トレイ 8が、上側に基板 1が配置される基板支持部の上 に配置されて、基板支持部の上側に基板 1が配置されている空間 3を密封するキヤッ プであって、下端側に基板支持部の上端外周に掛止される掛止段部 6を有し、掛止 段部 6におけるキャップの側壁内径 (R2)が、基板支持部の上端の外径 (R3)より少 なくともプラス公差分大きくなつているキャップ 5と組み合わされているものである。
[0030] これによつて、加熱処理が行われた際に、キャップ 5、基板支持'搬送用トレイ 8の熱 膨張により、基板 1が配置されている空間 3の密封状態が高められる。
[0031] 図 5 (c)が、図 5 (b)図示の実施形態と相違しているのは、キャップ 5が、キャップ本 体 5aの表面にコーティング層 5bが形成されている点である。
[0032] キャップ本体 5aの表面にコーティングを施すことにより、加熱処理の間におけるキヤ ップ 5からのガス放出や、キャップ 5を形成している材質の飛散を抑制し、基板 1や、 処理室 11の内面が汚染されることを防止することを目的としたものである。
[0033] コーティング層 5bはガス放出を防止できる材質、例えば、熱分解炭素(Pyrolytic Gr aphite、 Pyrolytic Carbon)で形成することができ、コーティング層 5bの厚みは 10〜50 μ mとしておくことが望ましい。
[0034] 以上、本発明の好ましい実施形態を添付図面を参照して説明したが、本発明はか かる実施形態に限定されるものではなぐ特許請求の範囲の記載から把握される技 術的範囲にぉ 、て種々の形態に変更可能である。
図面の簡単な説明
[0035] [図 1]本発明の第一の実施形態を説明する一部を省略した断面図。
[図 2]本発明の第二の実施形態を説明する一部を省略した断面図。
[図 3]図 1図示の本発明の第一の実施形態の他の例を説明する一部を省略した断面 図。
圆 4]図 2図示の本発明の第二の実施形態の他の例を説明する一部を省略した断面 図。
[図 5] (a)、(b)、(c)本発明の第三の実施形態を説明する一部を省略した断面図。 圆 6] (a)上側に基板が載置されている状態の本発明の基板支持'搬送用トレィを搬 送する状態を説明する平面図、(b)上側に基板が載置されている状態の本発明の基 板支持 ·搬送用トレィを搬送する状態を説明する正面図。
[図 7] (a)、(b)従来の基板支持'搬送用トレイを説明する一部を省略した断面図。

Claims

請求の範囲
[1] 基板に対する加熱処理が行われる処理室に配備されている基板支持部材の上に載 置され、上側に基板が置かれる基板支持'搬送用のトレイであって、上部側に円盤状 の基板支持部を有し、当該円盤状の基板支持部の周縁から下側に向力つて延びる 筒状側壁部と、当該筒状側壁部の下端側から径方向で外側に延びる環状部とを備 えて ヽることを特徴とする基板支持 ·搬送用トレイ。
[2] 上側に基板が配置される基板支持部の上に配置されて、基板支持部の上側に基板 が配置されている空間を密封するキャップであって、下端側に基板支持部の上端外 周に掛止される掛止段部を有し、掛止段部におけるキャップの側壁内径 (R2)が、基 板支持部の上端の外径 (R3)より少なくともプラス公差分大きくなつて 、るキャップと 組み合わされることを特徴とする請求項 1記載の基板支持 ·搬送用トレイ。
PCT/JP2005/019091 2004-10-19 2005-10-18 基板支持・搬送用トレイ WO2006043531A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP05795512A EP1811559A4 (en) 2004-10-19 2005-10-18 SUBSTRATE HOLDING - / - TRANSFER CHARGER
US11/665,446 US7780440B2 (en) 2004-10-19 2005-10-18 Substrate supporting/transferring tray
JP2006542992A JP4453984B2 (ja) 2004-10-19 2005-10-18 基板支持・搬送用トレイ
US12/632,161 US8147242B2 (en) 2004-10-19 2009-12-07 Substrate supporting/transferring tray

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004303875 2004-10-19
JP2004-303875 2004-10-19

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/665,446 A-371-Of-International US7780440B2 (en) 2004-10-19 2005-10-18 Substrate supporting/transferring tray
US12/632,161 Continuation US8147242B2 (en) 2004-10-19 2009-12-07 Substrate supporting/transferring tray

Publications (1)

Publication Number Publication Date
WO2006043531A1 true WO2006043531A1 (ja) 2006-04-27

Family

ID=36202946

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/019091 WO2006043531A1 (ja) 2004-10-19 2005-10-18 基板支持・搬送用トレイ

Country Status (6)

Country Link
US (2) US7780440B2 (ja)
EP (1) EP1811559A4 (ja)
JP (1) JP4453984B2 (ja)
KR (1) KR20070056154A (ja)
CN (2) CN101061578A (ja)
WO (1) WO2006043531A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7666763B2 (en) 2007-05-29 2010-02-23 Canon Anelva Corporation Nanosilicon semiconductor substrate manufacturing method and semiconductor circuit device using nanosilicon semiconductor substrate manufactured by the method
US7807553B2 (en) 2006-12-08 2010-10-05 Canon Anelva Corporation Substrate heating apparatus and semiconductor fabrication method

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101061578A (zh) * 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102456604A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘及其制造方法、具有该卡盘的晶片处理设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103010557B (zh) * 2012-12-18 2015-11-25 杭州中亚机械股份有限公司 一种装箱托架及其摆放方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP3769909A1 (de) * 2019-07-25 2021-01-27 Maschinenfabrik Berthold Hermle AG Werkstückpalette und bearbeitungssystem
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0339835U (ja) * 1989-08-30 1991-04-17
JPH05243171A (ja) * 1992-03-02 1993-09-21 Sharp Corp 半導体基板熱処理用治具
JPH05326532A (ja) * 1992-05-15 1993-12-10 Tokyo Ohka Kogyo Co Ltd ベーク装置
JPH09219440A (ja) * 1996-02-13 1997-08-19 Sony Corp ウエハ加熱用トレイ
JPH1167819A (ja) * 1997-08-08 1999-03-09 Toshiba Corp 半導体パッケージの製造装置およびその製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3049521A1 (de) * 1980-12-30 1982-07-29 Karl 7519 Oberderdingen Fischer Elektrischer heizkoerper
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4578031A (en) * 1984-11-09 1986-03-25 Midland-Ross Corporation Dimensionally stable movable furnace hearth
JPS63305359A (ja) 1987-06-05 1988-12-13 Nec Yamagata Ltd 半導体用ペリクル膜保管ケ−ス
JPH01100939A (ja) 1987-10-14 1989-04-19 Hitachi Ltd 半導体ウェハ搬送用ウェハキャリアとこれを使用する集積回路処理装置用インターフェイス
JPH0627952Y2 (ja) 1989-06-15 1994-07-27 日新電機株式会社 ウエハトレイ・サセプタ・トレイ受台の形状
JPH0412649U (ja) 1990-05-18 1992-01-31
JPH0448136U (ja) 1990-08-31 1992-04-23
JP2583648Y2 (ja) 1992-12-15 1998-10-27 日新電機株式会社 気相成長装置のトレイ
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JPH07201948A (ja) 1993-12-29 1995-08-04 Dainippon Screen Mfg Co Ltd 基板搬送治具
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
JPH08191101A (ja) 1995-01-06 1996-07-23 Hitachi Cable Ltd 半導体基板収納容器
US5755570A (en) * 1995-05-26 1998-05-26 International Business Machines Corporation Apparatus for in situ environment sensitive sealing and/or product controlling
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
JP3043283B2 (ja) 1996-11-14 2000-05-22 松下電器産業株式会社 裁断済み基板用搬送装置
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
EP1098354A2 (en) * 1999-11-08 2001-05-09 Applied Materials, Inc. Apparatus for controlling temperature in a semiconductor processing system
JP2001257144A (ja) * 2000-03-09 2001-09-21 Tokyo Electron Ltd 基板の加熱処理装置
JP2002002695A (ja) 2000-06-15 2002-01-09 Kyocera Corp 基板収納トレイ及びこれを用いた基板梱包体
KR100398363B1 (ko) * 2000-12-05 2003-09-19 삼성전기주식회사 Fbar 소자 및 그 제조방법
JP2002193341A (ja) 2000-12-25 2002-07-10 Casio Comput Co Ltd 薄型製品箱詰め用トレイ
JP4106225B2 (ja) 2002-03-20 2008-06-25 サイデック株式会社 電子部品等の収納トレー
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
US7329947B2 (en) * 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
CN101061578A (zh) * 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0339835U (ja) * 1989-08-30 1991-04-17
JPH05243171A (ja) * 1992-03-02 1993-09-21 Sharp Corp 半導体基板熱処理用治具
JPH05326532A (ja) * 1992-05-15 1993-12-10 Tokyo Ohka Kogyo Co Ltd ベーク装置
JPH09219440A (ja) * 1996-02-13 1997-08-19 Sony Corp ウエハ加熱用トレイ
JPH1167819A (ja) * 1997-08-08 1999-03-09 Toshiba Corp 半導体パッケージの製造装置およびその製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1811559A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807553B2 (en) 2006-12-08 2010-10-05 Canon Anelva Corporation Substrate heating apparatus and semiconductor fabrication method
US7666763B2 (en) 2007-05-29 2010-02-23 Canon Anelva Corporation Nanosilicon semiconductor substrate manufacturing method and semiconductor circuit device using nanosilicon semiconductor substrate manufactured by the method

Also Published As

Publication number Publication date
US8147242B2 (en) 2012-04-03
JP4453984B2 (ja) 2010-04-21
KR20070056154A (ko) 2007-05-31
US20080128969A1 (en) 2008-06-05
CN101061578A (zh) 2007-10-24
US7780440B2 (en) 2010-08-24
CN101645394A (zh) 2010-02-10
EP1811559A1 (en) 2007-07-25
US20100084392A1 (en) 2010-04-08
EP1811559A4 (en) 2010-04-21
JPWO2006043531A1 (ja) 2008-05-22

Similar Documents

Publication Publication Date Title
WO2006043531A1 (ja) 基板支持・搬送用トレイ
JP4599363B2 (ja) 基板加熱処理装置及び基板加熱処理に用いられる基板搬送用トレイ
CN101924017B (zh) 基板加热单元和包含该基板加热单元的基板处理装置
KR100672802B1 (ko) 기판 가열 장치 및 그 제조 방법
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
JPH0789541B2 (ja) 半導体ウェーハ処理装置のサセプタの熱分布を向上するサセプタ用スポーク支持体
WO2001018856A1 (fr) Support de tranche
JP4096636B2 (ja) ウエハ支持治具およびそれを用いた半導体素子製造方法
EP1184894B1 (en) Method of operating a susceptor for semiconductor wafers
US6799940B2 (en) Removable semiconductor wafer susceptor
JP2004200678A5 (ja)
US7022192B2 (en) Semiconductor wafer susceptor
JP2004200436A (ja) サセプタ及びその製造方法
JPH11163102A (ja) 半導体製造装置用サセプタ
CN114686858B (zh) 一种薄膜生长系统以及基片托盘和载环组件
JPH10209252A (ja) ウエハ用トレイ
JP2018056237A (ja) 対象物載置用部材
CN103201823B (zh) 用于连接腔室部件的附着材料
JPH04199614A (ja) 縦型気相成長用サセプター
WO2012043495A1 (ja) 搬送装置及び処理システム
JP2004241616A (ja) ウエーハの保持装置及び移載方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV LY MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006542992

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2005795512

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 11665446

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020077008913

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 200580039349.5

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2005795512

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 11665446

Country of ref document: US