KR20070056154A - 기판 지지·반송용 트레이 - Google Patents

기판 지지·반송용 트레이 Download PDF

Info

Publication number
KR20070056154A
KR20070056154A KR1020077008913A KR20077008913A KR20070056154A KR 20070056154 A KR20070056154 A KR 20070056154A KR 1020077008913 A KR1020077008913 A KR 1020077008913A KR 20077008913 A KR20077008913 A KR 20077008913A KR 20070056154 A KR20070056154 A KR 20070056154A
Authority
KR
South Korea
Prior art keywords
substrate
substrate support
tray
board
heating
Prior art date
Application number
KR1020077008913A
Other languages
English (en)
Inventor
마사미 시바가키
야스미 구레마츠
Original Assignee
캐논 아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 아네르바 가부시키가이샤 filed Critical 캐논 아네르바 가부시키가이샤
Publication of KR20070056154A publication Critical patent/KR20070056154A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Abstract

기판에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부, 특히, 기판 가열용의 가열수단을 내장하고 있는 기판 지지부의 위에 재치 가능하고, 상측에 기판이 놓이는 기판 지지·반송용의 트레이로서, 기판에의 가열처리 시에, 기판에의 보다 균일한 가열을 가능하게 하고, 동시에, 가열처리가 종료되면, 기판의 온도가 저하될 때까지 기다리지 않고, 간단하게, 상기 기판 지지부로부터 제거하여, 가열처리가 행해진 처리실로부터 다른 쪽으로 반송해 가는 것이 가능한 기판 지지·반송용 트레이를 제공한다. 상면측에 원반 형상의 기판 지지부를 갖고, 당해 원반 형상의 기판 지지부의 둘레 가장자리로부터 하측을 향하여 뻗어 있는 통 형상 측벽부와, 당해 통 형상 측벽부의 하단측으로부터 직경방향에서 외측으로 뻗어 있는 환상부를 구비하고 있는 기판 지지·반송용 트레이.
기판, 가열처리, 처리실, 기판 지지부재, 기판 지지·반송용의 트레이, 통 형상 측벽부, 환상부

Description

기판 지지·반송용 트레이{SUBSTRATE SUPPORTING/TRANSFERRING TRAY}
본 발명은 반도체 기판에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부재의 위에 재치되고, 상측에 기판이 놓이는 기판 지지·반송용의 트레이에 관한 것이다. 특히, 기판 가열처리용의 가열수단을 내부에 구비하고 있는 기판 지지부재의 위에 재치되고, 상측에 반도체 기판이 놓이는 기판 지지·반송용의 트레이에 관한 것이다.
반도체 제조장치에 있어서, 진공상태 또는 대기압 상태의 처리실 내에서 반도체 기판에의 가열처리를 행하는 경우에, 반도체 기판에의 균일한 가열이나, 가열처리 완료 후의 반도체 기판의 이송 등을 고려하여, 상부측에 기판 지지부를 갖는 기판 지지·반송용 트레이의 위에 반도체 기판을 놓은 상태에서, 반도체 기판에의 가열처리가 행해지는 경우가 있다.
예를 들면, 도 7(a)에 도시한 바와 같이, 상부측에 기판 지지부를 갖는 기판 지지·반송용 트레이(18)의 위에 기판(1)을 놓고, 이것을, 반도체 기판을 가열하는 가열수단(4)이 내장되어 있는 기판 지지부재(2)의 위에서, 진공상태 또는 대기압 상태에서, 기판(1)에의 가열처리가 행해지는 경우가 있다.
도 7(a)에 도시한 형태는, 기판(1)을 균일하게 가열하는 것을 목적으로 하여 기판 지지·반송용 트레이(18)를 사용하고 있는 것이지만, 가열처리가 완료된 기판(1)을 처리실(도시하지 않음) 내로부터 꺼내고, 다음에 가열처리를 받을 새로운 기판을 처리실 내로 반송하여 가열처리를 행하려고 할 때에, 기판 지지·반송용 트레이(18)에 반송용 로봇 등이 지지할 수 있는 개소가 없다. 그래서, 결국, 기판(1)의 온도가 저하할 때까지 반송처리를 행할 수 없다고 하는 문제가 있었다.
그래서, 도 7(b)에 도시한 바와 같이, 상부측에 기판 지지부를 갖고, 바깥둘레(18d)가 기판을 가열하는 가열수단(4)이 내장되어 있는 기판 지지부재(2)의 바깥둘레(2a)보다 크고, 평판 형상으로 큰 직경의 기판 지지·반송용 트레이(18a)가 채용되게 되었다. 이러한 선행기술은, 예를 들면 일본 특개2002-2695 등에 기재되어 있다.
이러한 형태의 기판 지지·반송용 트레이(18a)의 경우, 바깥둘레(18d)가, 기판(1)을 가열하는 가열수단(4)이 내장되어 있는 기판 지지부재(2)의 바깥둘레(2a)보다 크므로, 반송용 로봇 등의 선단이 두 갈래로 되어 있는 포크로, 기판 지지·반송용 트레이(18a)의 바깥둘레 측부(18c)의 하측(도 7(b)중, 하측)을 지지할 수 있다.
그래서, 기판(1)을 가열하는 처리가 완료되면, 기판(1)의 온도가 저하되는 것을 기다리지 않고, 반송용 로봇 등의 포크의 두 갈래로 되어 있는 선단부분으로 기판 지지·반송용 트레이(18a)의 바깥둘레 측부(18c)의 하측(도 4(b) 중, 하측)을 지지하여, 기판 지지·반송용 트레이(18a)를 기판 지지부재(2)의 위로부터 떼어낼 수 있다. 그리고, 다음에 가열처리가 행해질 새로운 기판이 기판 지지부의 위에 놓여져 있는 다른 기판 지지·반송용 트레이(18a)를 동일하게 하여 반송해 와서 기판 지지부재(2)의 위에 놓음으로써, 새로운 기판의 가열처리를 개시할 수 있다. 이것에 의해, 효율적으로 복수매의 기판의 가열처리를 행할 수 있다.
그러나, 기판을 가열하는 가열수단(4)이 내장되어 있는 기판 지지부재(2)의 위에, 도 7(b)에 도시한 형태와 같이, 바깥둘레(18d)가 기판 지지부재(2)의 바깥둘레(2a)보다 크고, 평판 형상으로 큰 직경의 기판 지지·반송용 트레이(18a)가 놓여져서 기판(1)의 가열처리가 행해지는 경우에는, 기판 지지부재(2)의 바깥둘레(2a)로부터 돌출해 있는 기판 지지·반송용 트레이(18a)의 바깥둘레 측부(18c)로부터 열이 방사된다. 그래서, 기판 지지·반송용 트레이(18a)의 중심부측과, 기판 지지·반송용 트레이(18a)의 바깥둘레 측부(18c) 사이에서 온도가 불균일하게 되고, 이 결과, 기판(1)의 균일한 가열을 행하는 것이 어렵게 된다고 하는 문제가 있었다.
(발명이 해결하고자 하는 과제)
본 발명은, 진공상태 또는 대기압 상태에서 기판(반도체 기판)에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부재, 특히, 기판 가열용의 가열수단을 내장하고 있는 기판 지지부재의 위에 재치되고, 상측에 기판(반도체 기판)이 놓이는 기판 지지·반송용의 트레이로서, 기판(반도체 기판)에의 가열처리 시에, 기판에의 보다 균일한 가열을 가능하게 하고, 동시에, 가열처리가 종료하면, 기판(반도체 기판)의 온도가 저하할 때까지 기다리지 않고, 간단하게, 상기 기판 지지부재로부터 제거하고, 가열처리가 행해진 처리실로부터 다른 쪽으로 반송해 가는 것이 가능하여, 효율적으로 복수매의 기판의 가열처리를 행할 수 있는 기판 지지·반송용 트레이를 제공하는 것을 목적으로 하고 있다.
(과제를 해결하기 위한 수단)
상기 과제를 해결하기 위하여, 본 발명이 제안하는 기판 지지·반송용 트레이는 기판(반도체 기판)에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부재의 위에 재치되고, 상측에 기판이 놓이는 기판 지지·반송용의 트레이로서, 상부측에 원반 형상의 기판 지지부를 갖고, 당해 원반 형상의 기판 지지부의 둘레 가장자리로부터 하측을 향하여 뻗어 있는 통 형상 측벽부와, 당해 통 형상 측벽부의 하단측으로부터 직경방향에서 외측으로 뻗어 있는 환상부를 구비하는 것을 특징으로 하는 것이다.
(발명의 효과)
본 발명에 의하면, 진공상태 또는 대기압 상태에서 기판(반도체 기판)에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부재, 특히, 기판 가열용의 가열수단을 내장하고 있는 기판 지지부재의 위에 재치되고, 상측에 기판(반도체 기판)이 놓이는 기판 지지·반송용의 트레이로서, 기판에의 가열처리 시에, 기판에의 보다 균일한 가열을 가능하게 하고, 동시에, 가열처리가 종료되면, 기판의 온도가 저하할 때까지 기다리지 않고, 간단하게, 상기 기판 지지부재로부터 제거하고, 가열처리가 행해진 처리실로부터 다른 쪽으로 반송해 가는 것이 가능하여, 효율적으로 복수매의 기판의 가열처리를 행할 수 있는 기판 지지·반송용 트레이를 제공할 수 있다.
도 1은 본 발명의 제 1 실시형태를 설명하는 일부를 생략한 단면도,
도 2는 본 발명의 제 2 실시형태를 설명하는 일부를 생략한 단면도,
도 3은 도 1에 도시한 본 발명의 제 1 실시형태의 다른 예를 설명하는 일부를 생략한 단면도,
도 4는 도 2에 도시한 본 발명의 제 2 실시형태의 다른 예를 설명하는 일부를 생략한 단면도,
도 5(a), (b), (c)는 본 발명의 제 3 실시형태를 설명하는 일부를 생략한 단면도,
도 6(a)는 상측에 기판이 재치되어 있는 상태의 본 발명의 기판 지지·반송용 트레이를 반송하는 상태를 설명하는 평면도, (b)는 상측에 기판이 재치되어 있는 상태의 본 발명의 기판 지지·반송용 트레이를 반송하는 상태를 설명하는 정면도,
도 7(a), (b)는 종래의 기판 지지·반송용 트레이를 설명하는 일부를 생략한 단면도이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시형태를 설명한다.
도 1은 본 발명의 제 1 실시예를 설명하는 것이다.
기판(1)에 대한 가열처리가 행해지는 처리실(11)의 내부에 기판 지지부재(2)가 배비되어 있다. 기판 지지부재(2)는 전자충격 가열용의 열전자 발생수단이나, 적외선 램프 가열용의 적외선 램프 등으로 이루어지는 기판 가열용의 가열수단(4)을 내부에 구비하고 있다. 이것에 의해, 처리실(11) 내에서 기판(1)에 대한 가열처리가 행해진다.
처리실(11) 내에서 기판(1)에 대해 행해지는 가열처리는 처리실(11) 내를 소정의 진공상태로 하여 행할 수도 있고, 처리실(11) 내를 대기압 상태로 해 두고 행할 수도 있다.
본 발명의 기판 지지·반송용 트레이(8)는 이 기판 지지부(2)의 위에 재치되고, 상측에 기판(예를 들면, Si 기판이나, SiC 기판과 같은 반도체 기판)이 놓이는 것이다.
기판 지지·반송용 트레이(8)는 그 상부측에 원반 형상의 기판 지지부(8e)(도 3(a))를 갖고 있다. 이 원반 형상의 기판 지지부(8e)의 둘레 가장자리로부터는 하측을 향하여 통 형상 측벽부(9)가 뻗어 있다.
통 형상 측벽부(9)는, 도 1에 도시한 바와 같이, 원기둥 형상의 기판 지지부재(2)의 외주를 따라, 원반 형상의 기판 지지부(8e)의 둘레 가장자리로부터 연직방향 하측(도 1중, 하측)으로 뻗어 있는 원통 형상으로 할 수 있다. 또, 도 2에 도시한 바와 같이, 원기둥 모양 기판 지지부재(2)의 외주를 따라, 원반 형상의 기판 지지부(8e)의 둘레 가장자리로부터 연직방향 하측(도 1 중, 하측)을 향함에 따라 점차로 직경이 커지도록, 경사져서 확장되어 가는 역 깔때기 형상의 형태를 하고 있는 것이어도 좋다.
단, 가열수단(4)에 의해 기판 지지부재(2)를 통하여 가열을 행할 때에, 기판 지지·반송용 트레이(8)와의 사이에 발생하는 온도차, 기판 지지·반송용 트레이(8)의 면 내의 온도분포를 작게 하여, 기판 지지·반송용 트레이(8)면 내에서의 보다 균일한 가열을 가능하게 하는 점에서는, 통 형상 측벽부(9)를, 도 1에 도시한 바와 같이, 기판 지지부재(2)의 외주를 따라, 원반 형상의 기판 지지부(8e)의 둘레 가장자리로부터 뻗어 있는 원통 형상으로 하는 것이 바람직하다.
통 형상 측벽부(9)의 하단측으로부터는, 직경방향에서 외측을 향하여 환상부(10)가 뻗어 있다.
원반 형상의 기판 지지부(8e)의 위에 도 1에 도시한 바와 같이 기판(1)이 재치되어 있는 기판 지지·반송용 트레이(8)를 반송 로봇 등에 의해 들어 올리고, 반송할 때는, 반송 로봇 등의 선단의 암(23, 24)에 연결되어 있는 포크 기단부(22)로부터 두 갈래로 갈라져서 뻗어 있는 포크(21a, 21b)가 도 6(a), (b)에 도시한 바와 같이 환상부(10)의 하측을 지지한다.
그래서, 기판(1)을 가열하는 처리가 완료되면, 기판(1)의 온도가 저하되는 것을 기다리지 않고, 반송용 로봇 등의 포크(21a, 21b)로 기판 지지·반송용 트레이(8)의 환상부(10)의 하측을 지지하여, 기판 지지·반송용 트레이(8)를 기판 지지부재(2)의 위로부터 떼어낼 수 있다. 그리고, 다음에 가열처리가 행해질 새로운 기판이 원반 형상의 기판 지지부(8e)의 놓여져 있는 다른 기판 지지·반송용 트레이(8)를 동일하게 하여 반송해 와서 기판 지지부재(2)의 위에 놓음으로써, 새로운 기판의 가열처리를 개시할 수 있다. 이것에 의해, 효율적으로 복수매의 기판의 가열처리를 행할 수 있다.
본 발명의 기판 지지·반송용 트레이(8)는 도 1, 도 2에 도시한 바와 같이, 상부측에 구비되어 있는 원반 형상의 기판 지지부(8e)의 둘레 가장자리로부터 하측을 향하여 뻗어 있는 통 형상 측벽부(9)를 갖고 있다. 그래서, 가열수단(4)에 의해 기판 지지부재(2)를 통하여 가열이 행해질 때에, 도 7(a), (b)에 도시한 바와 같은 형태의 종래의 기판 지지·반송용 트레이(18, 18a)의 경우에 비해, 기판 지지부재(2)와 기판 지지·반송용 트레이(8) 사이에 발생하는 온도차를 작게 하는 것이 할 수 있다. 또한, 기판 지지·반송용 트레이(8)의 면 내의 온도분포도, 도 7(a), (b)에 도시한 바와 같은 형태의 종래의 기판 지지·반송용 트레이(18, 18a)의 경우에 비해 작게 할 수 있다. 그래서, 기판 지지·반송용 트레이(8) 면 내에서의 보다 균일한 가열을 가능하게 할 수 있었다.
또한, 도 3, 도 4와 같이, 환상부(10)를 구비하고 있지 않은, 통 형상 측벽부(9)만이 배비되어 있는 형태로 해도 전술한 효과를 발휘하게 할 수 있다. 도 3에 도시된 실시형태는, 도 1에 도시한 실시형태에서, 환상부(10)를 구비하고 있지 않고, 통 형상 측벽부(9)만을 구비하고 있는 것이다. 도 4에 도시한 실시형태는, 도 2에 도시한 실시형태에서, 환상부(10)를 구비하고 있지 않고, 통 형상 측벽부(9)만을 구비하고 있는 것이다. 단, 도 1, 도 2에 도시한 실시형태와 같이, 환상부(10)도 구비하고 있는 형태로 한 쪽이 유리하다. 발열체(4)를 내부에 구비하고 있는 기판 지지부재(2)와 기판 지지·반송용 트레이(8) 사이에 발생하는 온도차를 한층더 작게 하고, 기판 지지·반송용 트레이(8) 면 내의 온도분포를 더욱 작게 하여, 기판 지지·반송용 트레이(8) 면 내에서의 보다 균일한 가열을 행하는 점에 서 바람직하기 때문이다.
도 5(a)는, 가열처리가 행해질 때에, 기판 지지·반송용 트레이(8)의 위에 캡(5)이 놓여지고, 기판 지지·반송용 트레이(8) 상에 재치되어 있는 기판(1)(예를 들면 SiC 기판)이 캡(5)에 의해 덮이고, 이 캡(5)과 기판 지지·반송용 트레이(8)에 의해 기판(1)이 밀봉되게 되어 있는 것이다.
이것에 의해, 기판(1)을 가열처리 함에 있어서, 기판(1)이 배치되어 있는 공간(3)을 캡(5)으로 밀봉하도록 한 것이다. 즉, 가열처리하는 기판(1)이 재치되어 있는 기판 지지·반송용 트레이(8)의 위에 캡(5)을 씌움으로써 기판(1)이 배치되어 있는 공간(3)을 캡(5)으로 밀봉하도록 한 것이다.
이와 같이 하면, 가열처리 시에는 상기한 바와 같이 기판(1)이 배치되어 있는 공간(3)이 밀봉되고, 또, 캡(5)으로부터의 복사열이 가해지므로, 보다 효율적으로 기판(1)을 가열할 수 있다.
도 5(b)가, 도 5(a)에 도시한 실시형태와 상위하고 있는 것은, 캡(5)이 측벽(5c)의 하단측에 기판 지지·반송용 트레이(8)의 상단 외주에 걸어지는 걸림 단차부(6)를 갖고, 걸림 단차부(6)에서의 캡(5)의 측벽 내경(R2)이 기판 지지·반송용 트레이(8)의 통 형상 측벽부(9)의 외경(R3) 보다 적어도 플러스 공차만큼 크게 되어 있는 점이다.
즉, 도 5(b)에 도시되어 있는 본 발명의 기판 지지·반송용 트레이(8)는, 전술한 본 발명의 기판 지지·반송용 트레이(8)가 상측에 기판(1)이 배치되는 기판 지지부 상에 배치되고, 기판 지지부의 상측에 기판(1)이 배치되어 있는 공간(3)을 밀봉하는 캡으로, 하단측에 기판 지지부의 상단 외주에 걸어지는 걸림 단차부(6)를 갖고, 걸림 단차부(6)에서의 캡의 측벽 내경(R2)이 기판 지지부의 상단의 외경(R3)보다 적어도 플러스 공차만큼 커져 있는 캡(5)과 조합되어 있는 것이다.
이것에 의해, 가열처리가 행해졌을 때에, 캡(5), 기판 지지·반송용 트레이(8)의 열팽창에 의해, 기판(1)이 배치되어 있는 공간(3)의 밀봉 상태가 향상된다.
도 5(c)가 도 5(b)에 도시한 실시형태와 상위한 것은 캡(5)이 캡 본체(5a)의 표면에 코팅층(5b)이 형성되어 있는 점이다.
캡 본체(5a)의 표면에 코팅을 행함으로써, 가열처리 동안에 있어서의 캡(5)으로부터의 가스방출이나, 캡(5)을 형성하고 있는 재질의 비산을 억제하여, 기판(1)이나 처리실(11)의 내면이 오염되는 것을 방지하는 것을 목적으로 한 것이다.
코팅층(5b)은 가스방출을 방지할 수 있는 재질, 예를 들면, 열분해탄소(Pyrolytic Graphite, Pyrolytic Carbon)로 형성할 수 있고, 코팅층(5b)의 두께는 10∼50㎛로 해 두는 것이 바람직하다.
이상, 본 발명의 바람직한 실시형태를 첨부한 도면을 참조하여 설명했지만, 본 발명은 이러한 실시형태에 한정되는 것은 아니고, 특허청구범위의 기재로부터 파악되는 기술적 범위에서 여러 형태로 변경 가능하다.

Claims (2)

  1. 기판에 대한 가열처리가 행해지는 처리실에 배비되어 있는 기판 지지부재의 위에 재치되고, 상측에 기판이 놓이는 기판 지지·반송용의 트레이로서, 상부측에 원반 형상의 기판 지지부를 갖고, 당해 원반 형상의 기판 지지부의 둘레 가장자리로부터 하측을 향하여 뻗어 있는 통 형상 측벽부와, 당해 통 형상 측벽부의 하단측으로부터 직경방향에서 외측으로 뻗어 있는 환상부를 구비하고 있는 것을 특징으로 하는 기판 지지·반송용 트레이.
  2. 제 1 항에 있어서, 상측에 기판이 배치되는 기판 지지부의 위에 배치되고, 기판 지지부의 상측에 기판이 배치되어 있는 공간을 밀봉하는 캡으로서, 하단측에 기판 지지부의 상단 외주에 걸어지는 걸림 단차부를 갖고, 걸림 단차부에서의 캡의 측벽 내경(R2)이 기판 지지부의 상단의 외경(R3)보다 적어도 플러스 공차만큼 크게 되어 있는 캡과 조합되는 것을 특징으로 하는 기판 지지·반송용 트레이.
KR1020077008913A 2004-10-19 2005-10-18 기판 지지·반송용 트레이 KR20070056154A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00303875 2004-10-19
JP2004303875 2004-10-19

Publications (1)

Publication Number Publication Date
KR20070056154A true KR20070056154A (ko) 2007-05-31

Family

ID=36202946

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077008913A KR20070056154A (ko) 2004-10-19 2005-10-18 기판 지지·반송용 트레이

Country Status (6)

Country Link
US (2) US7780440B2 (ko)
EP (1) EP1811559A4 (ko)
JP (1) JP4453984B2 (ko)
KR (1) KR20070056154A (ko)
CN (2) CN101061578A (ko)
WO (1) WO2006043531A1 (ko)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4453984B2 (ja) * 2004-10-19 2010-04-21 キヤノンアネルバ株式会社 基板支持・搬送用トレイ
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008166729A (ja) 2006-12-08 2008-07-17 Canon Anelva Corp 基板加熱処理装置及び半導体製造方法
US7666763B2 (en) 2007-05-29 2010-02-23 Canon Anelva Corporation Nanosilicon semiconductor substrate manufacturing method and semiconductor circuit device using nanosilicon semiconductor substrate manufactured by the method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102456604A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘及其制造方法、具有该卡盘的晶片处理设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103010557B (zh) * 2012-12-18 2015-11-25 杭州中亚机械股份有限公司 一种装箱托架及其摆放方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
EP3769909A1 (de) * 2019-07-25 2021-01-27 Maschinenfabrik Berthold Hermle AG Werkstückpalette und bearbeitungssystem
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3049521A1 (de) * 1980-12-30 1982-07-29 Karl 7519 Oberderdingen Fischer Elektrischer heizkoerper
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4578031A (en) * 1984-11-09 1986-03-25 Midland-Ross Corporation Dimensionally stable movable furnace hearth
JPS63305359A (ja) 1987-06-05 1988-12-13 Nec Yamagata Ltd 半導体用ペリクル膜保管ケ−ス
JPH01100939A (ja) 1987-10-14 1989-04-19 Hitachi Ltd 半導体ウェハ搬送用ウェハキャリアとこれを使用する集積回路処理装置用インターフェイス
JPH0627952Y2 (ja) 1989-06-15 1994-07-27 日新電機株式会社 ウエハトレイ・サセプタ・トレイ受台の形状
JPH0735382Y2 (ja) 1989-08-30 1995-08-09 日新電機株式会社 薄膜気相成長装置
JPH0412649U (ko) 1990-05-18 1992-01-31
JPH0448136U (ko) 1990-08-31 1992-04-23
JP2758770B2 (ja) 1992-03-02 1998-05-28 シャープ株式会社 半導体基板熱処理用治具
JP2764154B2 (ja) 1992-05-15 1998-06-11 東京応化工業株式会社 ベーク装置
JP2583648Y2 (ja) 1992-12-15 1998-10-27 日新電機株式会社 気相成長装置のトレイ
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH07201948A (ja) 1993-12-29 1995-08-04 Dainippon Screen Mfg Co Ltd 基板搬送治具
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
JPH08191101A (ja) 1995-01-06 1996-07-23 Hitachi Cable Ltd 半導体基板収納容器
US5755570A (en) * 1995-05-26 1998-05-26 International Business Machines Corporation Apparatus for in situ environment sensitive sealing and/or product controlling
JPH09219440A (ja) 1996-02-13 1997-08-19 Sony Corp ウエハ加熱用トレイ
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
JP3043283B2 (ja) 1996-11-14 2000-05-22 松下電器産業株式会社 裁断済み基板用搬送装置
JPH1167819A (ja) 1997-08-08 1999-03-09 Toshiba Corp 半導体パッケージの製造装置およびその製造方法
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
EP1098354A2 (en) * 1999-11-08 2001-05-09 Applied Materials, Inc. Apparatus for controlling temperature in a semiconductor processing system
JP2001257144A (ja) * 2000-03-09 2001-09-21 Tokyo Electron Ltd 基板の加熱処理装置
JP2002002695A (ja) 2000-06-15 2002-01-09 Kyocera Corp 基板収納トレイ及びこれを用いた基板梱包体
KR100398363B1 (ko) * 2000-12-05 2003-09-19 삼성전기주식회사 Fbar 소자 및 그 제조방법
JP2002193341A (ja) 2000-12-25 2002-07-10 Casio Comput Co Ltd 薄型製品箱詰め用トレイ
JP4106225B2 (ja) 2002-03-20 2008-06-25 サイデック株式会社 電子部品等の収納トレー
CN100517612C (zh) * 2003-04-02 2009-07-22 株式会社上睦可 半导体晶片用热处理夹具
US7329947B2 (en) * 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
JP4453984B2 (ja) * 2004-10-19 2010-04-21 キヤノンアネルバ株式会社 基板支持・搬送用トレイ
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置

Also Published As

Publication number Publication date
US20080128969A1 (en) 2008-06-05
JP4453984B2 (ja) 2010-04-21
US7780440B2 (en) 2010-08-24
US8147242B2 (en) 2012-04-03
EP1811559A4 (en) 2010-04-21
WO2006043531A1 (ja) 2006-04-27
US20100084392A1 (en) 2010-04-08
JPWO2006043531A1 (ja) 2008-05-22
EP1811559A1 (en) 2007-07-25
CN101061578A (zh) 2007-10-24
CN101645394A (zh) 2010-02-10

Similar Documents

Publication Publication Date Title
KR20070056154A (ko) 기판 지지·반송용 트레이
KR101049730B1 (ko) 기판 가열 처리 장치 및 기판 가열 처리에 사용되는 기판반송용 트레이
US20110049779A1 (en) Substrate carrier design for improved photoluminescence uniformity
CN101924017B (zh) 基板加热单元和包含该基板加热单元的基板处理装置
US6344631B1 (en) Substrate support assembly and processing apparatus
US20080314319A1 (en) Susceptor for improving throughput and reducing wafer damage
JPH0789541B2 (ja) 半導体ウェーハ処理装置のサセプタの熱分布を向上するサセプタ用スポーク支持体
JP2001518238A (ja) 炭化ケイ素薄膜用のサセプタの設計
JPH1074705A (ja) 高温サセプタ
WO2001018856A1 (fr) Support de tranche
CN108475635B (zh) 晶片支承机构、化学气相沉积装置和外延晶片的制造方法
KR20200090119A (ko) 통기형 서셉터
KR100965143B1 (ko) 서셉터 유닛 및 이를 구비하는 기판 처리 장치
US10519547B2 (en) Susceptor design to eliminate deposition valleys in the wafer
TW557534B (en) Boat for heat treatment and vertical heat-treating furnace
JP4637475B2 (ja) 取外し可能なサセプタを用いた半導体基板搬送システム、及び半導体基板の搬送方法
JP2004200678A5 (ko)
EP1184894B1 (en) Method of operating a susceptor for semiconductor wafers
TW202025248A (zh) 氣相沈積裝置及磊晶矽晶圓的製造方法
JPH10209252A (ja) ウエハ用トレイ
JP2018056237A (ja) 対象物載置用部材
JPH04199614A (ja) 縦型気相成長用サセプター
JPH0251878B2 (ko)
KR20040033403A (ko) 반도체 기판 지지용 기판 홀더
KR20000051272A (ko) 화학기상 증착시스템의 웨이퍼 로딩장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application