WO2003098678A1 - Procede de traitement de substrat - Google Patents

Procede de traitement de substrat Download PDF

Info

Publication number
WO2003098678A1
WO2003098678A1 PCT/JP2003/006080 JP0306080W WO03098678A1 WO 2003098678 A1 WO2003098678 A1 WO 2003098678A1 JP 0306080 W JP0306080 W JP 0306080W WO 03098678 A1 WO03098678 A1 WO 03098678A1
Authority
WO
WIPO (PCT)
Prior art keywords
nitrogen
oxide film
film
exposing
forming
Prior art date
Application number
PCT/JP2003/006080
Other languages
English (en)
French (fr)
Inventor
Seiji Matsuyama
Takuya Sugawara
Shigenori Ozaki
Toshio Nakanishi
Masaru Sasaki
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2003231516A priority Critical patent/AU2003231516A1/en
Priority to JP2004506074A priority patent/JP4256340B2/ja
Publication of WO2003098678A1 publication Critical patent/WO2003098678A1/ja
Priority to US10/988,561 priority patent/US7232772B2/en
Priority to US11/616,217 priority patent/US7429539B2/en
Priority to US12/202,095 priority patent/US20090035950A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate

Definitions

  • the present invention generally relates to a method for treating a substrate, and more particularly to a method for treating an oxide film formed on a silicon substrate surface.
  • the thickness of a gate insulating film In order to increase the operating speed of a semiconductor device in accordance with the gut length, it is necessary to reduce the thickness of a gate insulating film according to a scaling rule. For example, when a conventional thermal oxide film is used as the gate insulating film, the thickness of the gate insulating film needs to be reduced to 1.7 nm or less. However, when the thickness of the oxide film is reduced in this way, the gate leak current flowing through the oxide film due to the tunnel effect increases.
  • silicon nitride is a material that has been used in conventional semiconductor processes and has a dielectric constant twice that of silicon oxide, making it a promising gate insulating film for next-generation high-speed semiconductor devices. Material. Background art
  • a silicon nitride film has generally been formed on an interlayer insulating film by a plasma CVD method.
  • a CVD nitride film generally has a large leak current and is not suitable as a gate insulating film. For this reason, no attempt has been made to use a nitride film as a gate insulating film.
  • rare gas pumps such as Ar or Kr excited by microphone mouth wave 6080
  • the oxynitride film formed in this way has a small oxidizing enzyme and has a leak current characteristic comparable to or exceeding that of a thermal oxide film, and is promising as a gate insulating film for next-generation high-speed semiconductor devices. It is believed that there is.
  • the oxynitride film thus formed is chemically stable, and even if a high-dielectric film is formed on the oxynitride film, the metal element in the high-dielectric film generated through the oxynitride film is formed. Diffusion and the reaction between the high dielectric film and the silicon substrate due to the diffusion can be suppressed. Further, a technique of directly nitriding the surface of a silicon substrate by such a microwave plasma has been proposed.
  • the introduced nitrogen atoms mainly consist of a silicon substrate and an oxide film. It is known that it concentrates in the vicinity of the interface.
  • problems such as fluctuation of threshold voltage due to formation of an interface and poor mobility are caused. Will occur.
  • the present invention generally aims to eliminate a new and useful substrate treatment which has solved the above-mentioned problems.
  • a more specific object of the present invention is to provide a method for nitriding an oxide film that can optimize the distribution of nitrogen atoms in the film.
  • the leakage current characteristic when nitriding an oxide film with nitrogen radicals excited by a microphone mouth-wave, by selecting a treatment Slffi according to the initial stage of the oxide film, the leakage current characteristic can be obtained.
  • An oxynitride film having excellent characteristics can be obtained.
  • FIG. 1 is a diagram showing a configuration of a microphone mouth wave plasma substrate processing apparatus used in the present invention
  • FIGS. 2A to 2C are diagrams showing oxidation and silicon oxidation processing performed using the substrate processing apparatus of FIG. Diagram showing nitridation of acid ill;
  • FIG. 3 shows the distribution of nitrogen atoms in the oxynitride film obtained in one embodiment of the present invention.
  • FIG. 4 shows the time change of the distribution of nitrogen atoms in the oxynitride film of FIG. Is a diagram showing the relationship between the leakage current due to the nitridation of the oxide film and the oxide film converter in this embodiment;
  • FIG. 6 is another diagram showing the relationship between the leakage current and nitrile oxide due to the nitridation of the oxide film in this example.
  • the inventor of the present invention conducted an experiment of nitriding an oxide film with nitrogen radicals excited by Ar gas plasma in the research that is the basis of the present invention, and found that the distribution of nitrogen atoms in the film was »It was found that it greatly changed depending on the matter, especially processing and processing time.
  • the present invention is based on the above findings and provides a method of nitriding an oxide film that can optimize the distribution of nitrogen atoms in the film.
  • FIG. 1 shows a schematic configuration of a plasma substrate processing unit 10 used in the present invention.
  • a plasma substrate processing apparatus 10 has a processing container 11 in which a substrate S is formed in a process space 11 A, and a processing container 11 in which a force S is formed. Is exhausted at the exhaust port 11B.
  • An opening is formed on the processing vessel 11 in correspondence with the substrate W to be processed on the substrate holding table 12.
  • the opening is formed by a top plate 13 made of a low-loss ceramic such as alumina. It is blocked. Further, a gas ring 14 having a gas introduction path and a number of nozzle openings communicating with the gas introduction path is formed below the top plate 13 so as to face the tiHB processing target W.
  • the ttiia top plate 14 forms a microwave window, and a flat microwave antenna 15 such as a radial line slot antenna or a horn antenna is formed above the disgusting top plate 13.
  • the processing space inside the tfllB processing vessel 11 is set to a predetermined processing by exhausting it through the ItllS exhaust port 11A, and inert gas such as Ar or Kr is supplied from the tfna gas ring l4. At the same time, an oxidizing gas / nitriding gas is introduced.
  • a microphone mouth wave having a frequency of several GHz, for example, 2.45 GHz from the tiff antenna 15 high density can be obtained on the surface of the as-treated body in the knitting processing container 11.
  • the plasma processing device in FIG. 1 has low plasma electrons, and damage to the processing target and the inner wall of the processing chamber 11 can be avoided.
  • the formed radicals flow radially along the surface of the as3 ⁇ 4w to be treated and are quickly exhausted, so that the recombination of the radicals is suppressed, and efficient and very uniform substrate processing is performed. It becomes possible at low temperatures below ° c.
  • FIG. 2A to 2C illustrate a substrate processing process according to an embodiment of the present invention using the substrate processing unit 10 of FIG.
  • the silicon substrate 21 is introduced into the processing vessel 11 of the disgusting processing apparatus 10 as an abuse treatment S3 ⁇ 43 ⁇ 4W, and the Kr and oxygen of
  • a silicon substrate having a thickness of 1.6 nra is formed on the surface of the silicon substrate 21.
  • a film 22 is formed.
  • the silicon oxide film 22 formed in this manner was formed at a high temperature of 700 ° C. or higher, although it was formed on a substrate ⁇ which was as low as about 400 ° C. It has leak current characteristics comparable to thermal oxide films.
  • the silicon oxide film 22 may be a thermal oxide film.
  • the mixed gas of Ar and nitrogen is supplied into the processing vessel 11 in the substrate processing apparatus 10 shown in FIG. 1, and 3 ⁇ 43 ⁇ 4 is set to 400 °.
  • the plasma is excited by supplying microwaves at C.
  • the internal pressure of the processing vessel 11 is set to 5 to 7 Pa, and the flow rate of Ar gas is, for example, 100 SCCM, and the flow rate of nitrogen gas is, for example, 40 SCCM. Supplied at As a result, the surface of the knitted silicon oxide film 22 is converted into a silicon oxynitride film 22A.
  • FIG. 3 shows a SIMS profile showing the distribution of oxygen atoms and nitrogen atoms in the oxynitride film 22A thus nitrided.
  • the interface between the oxynitride film 22A and the silicon fiber 21 is located at a depth of about 1.6 nm. It can be seen that the density is maximum at the center in the direction.
  • the results in FIG. 3 indicate that in the oxynitride film 22A, the nitrogen atoms are distributed almost entirely except for the film surface and a portion immediately below the film surface. This means that a substantial amount of nitrogen atoms also exist near the interface between the S & t oxynitride film 22A and the silicon substrate 21.
  • FIG. 3 shows that the process of FIG. 2C was carried out under the same conditions but with the treatment pressure changed to 60 to 130 Pa, but the nitrogen in the oxynitride film 22 A was not changed.
  • the distribution power of atoms is further shown in S-Gei.
  • the nitriding treatment shown in FIG. 2C is performed at a high level, and the number of nitrogen atoms incorporated into the oxynitride film 22A is lower than that of the it ⁇ And the nitrogen concentration in the film also decreases.
  • the nitrogen concentration in the vicinity of the interface between the oxynitride film 22A and the silicon substrate 21 is lower than the detection limit and hardly occurs.
  • FIG. 4 is a diagram showing a temporal change in distribution of nitrogen atoms in the film.
  • the nitridation treatment at a treatment as high as 6 OPa is very thin.
  • it is suitable to introduce nitrogen only into a part of the oxynitride film with a film thickness of 1 nm or less, while the fineness is low. Therefore, it can be seen that the nitriding treatment at a low refining process Sffi of 60 Pa or less is suitable for uniformly nitriding an oxynitride film having a relatively thick thickness, for example, JHi exceeding 1 nm.
  • Figs. 3 and 4 show that when the treatment temperature is increased during the nitridation treatment in Fig. 2C, the electron temperature decreases, so that the nitrogen ions formed in the plasma are not easily accelerated toward the substrate and reach the substrate.
  • the processing pressure is set low, the electron temperature rises and the nitrogen ions are accelerated in the direction of the substrate, and the substrate is activated. This is considered to reflect the fact that nitridation is likely to occur because it is easy to reach.
  • FIG. 5 shows the leakage characteristics of an n-type MOS capacitor using an oxynitride film formed by the method of the present invention as a gate insulating film.
  • the method of forming the oxynitride film includes the case where the nitriding treatment shown in FIG. 2C is performed on the oxide film having a Hff of 1.6 nm in a high treatment pressure of 60 to 130 Pa for various times, and Two methods are used when the treatment is performed for various times at a low pressure of 7 Pa and at a processing pressure.
  • the vertical axis indicates the gate leakage current density J g at the gate llffi-l .8 V, and the horizontal axis indicates the equivalent oxide thickness Tox.
  • the dashed line shows the result at a high processing pressure
  • the solid line shows the result at a low processing pressure
  • the nitridation treatment of FIG. 2C was performed with a low efficiency: ⁇ , the oxide film enrichment T X was almost 1.4 ⁇ in due to the penetration of nitrogen atoms into the oxide film.
  • the force S that suppresses the increase in leakage current and the nitriding treatment are lengthened, a turnaround phenomenon occurs at the point indicated by X in the figure, the leakage current starts to decrease, and the oxide equivalent, ToX, starts increasing. .
  • has a small amount of nitrogen atoms incorporated into the film, so the oxide film equivalent SD ⁇ as shown by ⁇ in Fig. 5 Although the decrease is small, the increase in leakage current due to the decrease in oxide film) is further suppressed. In other words, the slope of the curve indicated by the stone sickle in FIG. 5 is steeper than the slope of the curve indicated by the solid line.
  • the allowable leak current value is 1 A / cm 2 at the time of applying 1.1.8, the oxide film in the state of FIG.
  • the film thickness is, for example, 1.45 nm or less, it can be seen that when nitrogen atoms are introduced by nitriding at 5 to 7 Pa, the leak current value exceeds the allowable range of the key.
  • the initial thickness of the oxide film 12 indicated by the arrow B is about 1.6 nm
  • the leakage current density immediately before the turnaround point is as low as 5 to 7 Pa for the t & f self-nitriding process. After that, it is almost equal to the permissible limit value, and becomes a rail value. Therefore, the initial Hff of the oxide film 12 is not more than about 1.6 II m: ⁇ indicates that the leakage current is less than the tin tolerance when the leakage nitriding is performed at a low pressure of 5 to 7 Pa. It is concluded that it is preferable to perform the ttriB nitridation at a high processing pressure of 60 to 130 Pa instead of such a low processing pressure.
  • the initial room was less than 1.6 nm because the rate of increase in leakage current due to the decrease in Nakan was small. Also, the requirement that the leak current value is 1 AZ cm 2 or less can be satisfied.
  • the treatment should be lower than 3 Pa, preferably 5 to 7 Pa, in the nitriding step in FIG. 2C. It is preferable to set the range. .
  • the leakage current value of the obtained oxynitride film is selected by selecting the treatment at the time of the nitriding treatment according to the initial I value of the oxide film. Within the desired tolerance.
  • a treatment is selected according to the initial enzyme of the oxide film. Accordingly, an oxynitride film having excellent characteristics including leak current characteristics can be obtained.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

明細書
繊処 去 技術分野
本発明は一般に基板処理方法に係り、特にシリコン基板表面に形成された酸化膜 の蜜化方法に関する。
微細化技術の進展により、今日では 0. 1 / mを切るグート長の超微細化半導体 装置の製造が可能になりつつある。
力かる超 钿化半導体装置において、グート長の に伴って半導体装置の動作 速度を向上させようとすると、ゲート絶縁膜の厚さをスケーリング則に従って減少 させる必要がある。例えばゲート絶縁膜として従来の熱酸化膜を使った 、ゲー ト絶縁膜の厚さを従来の 1 . 7 n m以下に減少させる必要がある。 しかし、酸化膜 の厚さをこのように減少させると、 トンネル効果により酸化膜を通つて流れるゲー トリーク電流が增大してしまう。
このため、従来より、ゲート絶縁膜として従来のシリコン酸化膜の代わりに T a 2O5あるいは Z r O2などの高誘電体膜を使うことが検討されている。 し力し、 こ れらの高誘電体膜は半導体技術にぉレ、て従来から使われてきているシリコン酸化 膜とは性質が大きく異なっており、これらの高誘電体膜をゲート絶縁膜として使う ためには、 解決しなければならなレ、課題が数多く残っている。
これに対し、シリコン窒化膜は従来の半導体プロセスで使われてきた材料であり、 し力もシリコン酸化膜の 2倍の比誘電率を有するため、次世代の高速半導体装置の ゲート絶縁膜として有望な材料である。 背景技術
従来より、シリコン窒化膜は層間絶縁膜上にプラズマ CVD法により形成される のが一般的であった。 し力し、 このような CVD窒化膜は一般にリーク電流が大き く、ゲート絶縁膜としては不適当であった。 このため、従来より窒化膜をゲート絶 縁膜に使う試みはなされていない。
これに対し、最近ではマイク口波励起された A rあるいは K rのような希ガスプ 6080
2 ラズマ中に窒素あるいは窒素と水素、 あるいは NH3ガスのような窒素を含んだガ スを導入し、 Nラジカルあるいは NHラジカルを発生させ、シリコン酸化膜表面を 窒化して酸窒化膜に変換する技術が提案されている。このようにして形成された酸 窒化膜は、酸化 «^酵が小さく、 しかも熱酸化膜に匹敵する、あるいはそれを 凌ぐリーク電流特性を有し、次世代高速半導体装置のゲート絶縁膜として有望であ ると考えられている。 このようにして形成された酸窒化膜は化学的にも安定で、酸 窒化膜上に高誘電体膜を形成した でも、編己酸窒化膜中を通って生じる高誘電 体膜中の金属元素の拡散、およびかかる拡散による高誘電体膜とシリコン基板との 間の反応を抑制することができる。また、シリコン基板表面をかかるマイクロ波プ ラズマにより直接に窒化する技術も提案されている。
ところで、従来酸化膜中に窒素を導入する方法として、窒素雰囲気中における熱 処理や窒素イオンの ¾Λが知られている力 このような方法では、導入された窒素 原子は主としてシリコン基板と酸化膜との界面近傍に濃集することが知られてい る。その結果、 このような従来の酸窒化膜を MO Sトランジスタのゲート絶縁膜に 使った には、界面 立开乡成によるしきい値 ®£の変動や、移動度の劣ィ匕などの 題が発生してしまう。
同様な理由から、 Nラジカルあるいは NHラジカル処理により形成された酸窒化 膜の齡でも、膜中における窒素原子の分布を適切に制御しないと、所望の半導体 装置の特性向上が得られないばかり力 \力えって特性の劣化を引き起こしてしまう。 発明の開示
そこで、本発明は上記の問題点を解決した、新規で有用な基板処 法を撤す ることを概括的,とする。
本発明のより具体的な課題は、膜中における窒素原子の分布を最適化できる酸化 膜の窒化方法を^^することにある。
本努明の他の,は、
シリコン 表面に酸化膜を形成する工程と、
ΙίίϊΞ酸化膜を、マイクロ波プラズマ中において励起された窒素ラジカルあるいは 窒素イオンに曝露し、 tins酸化膜中に窒素原子を導入する工程とよりなることを特 徴とする基板処 法を »することにある。
本発明によれば、酸化膜をマイク口波励起された窒素ラジカルで窒化処理する際 に、酸化膜の初期 に応じて処 Slffiを選択することにより、 リーク電流特'性をは じめとする; ¾ϋな特性を有する酸窒化膜を得ることができる。
本発明のその他の課題および特徴は、 以下に図面を参照しながら行う本発明の 詳細な説明より明らかとなろう。 図面の簡単な説明
図 1は、 本発明で使われるマイク口波プラズマ基板処«置の構成を示す図; 図 2 Α〜 2 Cは、図 1の基板処理装置を使って実行されるシリコン ¾の酸化処 理および酸 i llの窒化処理を示す図;
図 3は、本発明の一実施例で得られた酸窒化膜中における窒素原子の分布を示す 図 4は、 図 3の酸窒化膜中における窒素原子の分布の時間変化を示す図; 図 5は、本実施例における酸化膜の窒化処理に伴うリーク電流と酸化膜換算廳 との関係を示す図;
図 6は、本実施例における酸化膜の窒化処理に伴うリーク電流と酸化藤算麟 との関係を示す別の図である。 発明を実施するための最良の態様
本発明の発明者は、本発明の基礎となる研究において酸化膜を、 A rガスプラズ マにより励起された窒素ラジカルにより窒化処理する実験を行っていたところ、膜 中における窒素原子の分布力 窒化処 »件、特に処 力および処理時間により 大きく変化することを見出した。
そこで、 本発明は上記の知見に基づいて、 膜中における窒素原子の分布を最適 化できる酸化膜の窒化方法を»することにある。
[第 1実施例]
図 1は、 本発明で使われるプラズマ基板処難置 1 0の概略的構成を示す。 図 1を参照するに、プラズマ基板処3¾置 1 0はプロセス空間 1 1 A中に被処理 ¾¾Wを保持する基板保持台 1 2力 S形成された処理容器 1 1を有し、処理容器 1 1 は排気ポート 1 1 Bにおいて排気される。
前記処理容器 1 1上には前記基板保持台 1 2上の被処理基板 Wに対応して開口 部が形成されており、 ΙϋΐΒ開口部は、アルミナ等の低損失セラミックよりなる天板 1 3により塞がれている。 さらに天板 1 3の下には、 tiHB被処理 ¾¾Wに対面する ように、ガス導入路とこれに連通する多数のノズル開口部とを形成された、ガスリ ング 1 4が形成されている。
ttiia天板 1 4はマイクロ波窓を形成し、嫌己天板 1 3の上部には、ラジアルライ ンスロットアンテナあるいはホーンアンテナ等の平面マイクロ波アンテナ 1 5が 形成されている。
動作時には、 tfllB処理容器 1 1内部の処理空間は ItllS排気ポート 1 1 Aを介して 排気することにより所定の処 asに設定され、 tfnaガスリング l 4から A rや K r 等の不活性ガスと共に酸化ガスゃ窒化ガスが導入される。
さらに tiff己アンテナ 1 5から周波数が数 GH z、例えば 2. 4 5 GH zのマイク 口波を導入することにより、編己処理容器 1 1中にお ヽて被処 asネ の表面に高 密度マイクロ波プラズマを励起する。プラズマを、アンテナを介して導入されたマ イク口波により励起することにより、図 1の ¾¾処¾置ではプラズマの電子 が低く、被処 as¾wや処理容器 1 1内壁の損傷が回避できる。 また、形成された ラジカルは被処 as¾wの表面に沿って径方向に流れ、 速やかに排気されるため、 ラジカルの再結合が抑制され、効率的で非常に一様な基板処理が、 5 5 o°c以下の 低温にぉレヽて可能になる。
図 2 A〜 2 Cは、図 1の基板処3¾置 1 0を使つた本発明の一実施例による基板 処理プロセスを示す。
図 2 Aを参照するに、シリコン基板 2 1を嫌己被処 S¾¾Wとして嫌己蔵処理 装置 1 0の処理容器 1 1中に導入し、 |&|己ガスリング 1 4から K rと酸素の混合ガ スを導入し、 これをマイク口波プラズマ励起することにより原子状酸素 o*を形成 する。 力かる原子状酸素 O*により嫌己シリコン基板 2 1の表面を処理することに より、図 2 Bに示すように、シリコン基板 2 1の表面に厚さが 1 . 6 nraのシリコ ン酸ィ匕膜 2 2を形成する。 このようにして形成されたシリコン酸ィ匕膜 2 2は、 4 0 0°C程度の非常に低い基板^^で形成されたにもかかわらず、 7 0 0°C以上の高温 で形成された熱酸化膜に匹 るリーク電流特性を有する。あるいは、爾己シリコ ン酸化膜 2 2は熱酸化膜であってもよい。
次に図 2 Cの工程にお!/、て図 1の基板処理装置 1 0におレ、て前記処理容器 1 1 中に A rと窒素の混合ガスを供給し、 ¾¾ を 4 0 0°Cに設定してマイクロ波を 供給することによりプラズマを励起する。
図 2 Cの工程では、処理容器 1 1の内圧を 5〜 7 P aに設定し、 A rガスを例え ば 1 0 0 0 S C CMの流量で、また窒素ガスを例えば 4 0 S C CMの流量で供給す る。その結果、編己シリコン酸化膜 2 2の表面が窒ィ匕され、 シリコン酸窒化膜 2 2 Aに変換される。
図 3は、このように窒化処理された酸窒化膜 2 2 A中における、酸素原子および 窒素原子の分布を示す S I M Sプロフアイルを示す。
図 3を参照するに、酸窒化膜 2 2 Aとシリコン纖 2 1との界面は約 1 . 6 nm の深さに位置しており、前記酸窒化膜 2 2 A中において窒素原子は膜厚方向中央部 において濃度が最大になっているのがわかる。また図 3の結果は、前記酸窒化膜 2 2 A中において前記窒素原子は膜表面およびその直下部分を除き、ほぼ全体に分布 していることを示している。 これは、 S&t己酸窒化膜 2 2 Aとシリコン基板 2 1との 界面近傍にも、 実質的な量の窒素原子が存在することを意味する。
図 3中には、前記図 2 Cの工程を同じ条件下、ただし処理圧を 6 0〜1 3 0 P a に変ィ匕させて行った の、觸己酸窒化膜 2 2 A中における窒素原子の分布力 S藝 でさらに示されている。
図 3を再び参照するに、このように図 2 Cの窒化処理を高レ、処 で行つ It ^ には、酸窒化膜 2 2 A中に取り込まれる窒素原子の数は処 が低い と比較し て減少し、膜中の窒素濃度も減少する。特にこのように窒化処理を高 、処班で行 つた には、酸窒化膜 2 2 Aとシリコン基板 2 1との界面近傍において窒素濃度 は検出限界以下であり、 殆ど していないのがわかる。
このように、図 2 Cの酸化膜の窒化処理工程を高レヽ処 Sffiで行うことにより、前 記酸窒化膜 2 2 A中における窒素原子の分布を浅い部分に限定することが可能に なり、このような酸窒化膜 2 2 Aをゲート絶縁膜に使つた MO Sトランジスタにお いて、窒素原子が酸窒化膜 2 2 A中、シリコン ¾ί反 2 1との界面近傍に存在するこ とによるキヤリァ移動度の低下やしきレヽ値 ®ΐの変動などの問題を解消すること が可能になる。
図 4は、図 2 Cの窒ィ匕処理を 6 0〜; L 3 0 P aの高レヽ処 で行つた^^ ;镍) と 5〜7 P aの低い処 SJEで行った^ (実線) について、膜中における窒素原子 の分布の時間変化を示す図である。
図 4を参照するに、処 が高い 、酸窒化膜 2 2 A中における窒素原子の侵 入深さは限られており、従って 6 O P aを超える高い処 asでの窒化処理は、非常 に薄 ヽ、例えば膜厚が 1 n m以下の酸窒化膜の一部にのみ窒素を導入するのに適し ているのに対し、処細が低い ¾ ^には、窒素原子は酸窒化膜全体に分布し、従つ て 6 0 P a以下の低レヽ処 Sffiでの窒化処理は比較的厚い、例えば JHiが 1 n mを超 える酸窒化膜を一様に窒化するのに適していることがわかる。
図 3, 4の結果は、 図 2 Cの窒化処理の際に処 ¾j£を高くすると電子温度が下 がるためプラズマ中で形成された窒素イオンが基板方向に加速されにくく、 基板 に到達する前に失活してしまうため基板を窒化する確率が低くなるのに対し、 処 理圧を低く設定した場合は電子温度が上がるために窒素ィオンが基板方向に加速 され、 活性の状態で基板に到達しやすくなるために窒化が行われやすくなる事情 を反映しているものと考えられる。
図 5は、 本発明方法で形成された酸窒化膜をゲート絶縁膜に用いた n型 MO S キャパシタのリーク特性を示す。酸窒化膜の形成方法としては、 Hffが 1.6nmの 酸化膜に対して図 2 Cの窒化処理を 6 0〜1 3 0 P aの高い処¾£で様々な時間 行つた場合と、 5〜 7 P aの低レ、処理圧で様々な時間行った場合の二通りの方法 が用いられている。 縦軸はゲート llffi—l . 8 Vにおけるゲートリーク電流密度 J gを示し、 横軸は酸化膜換算膜厚 Toxを示す。
ただし図 5中、 破線は高い処理圧での結果を、 実線は低い処理圧での結果を示 す。
図 5を参照するに、嫌己低い処 ffiで図 2 Cの窒化処理を行った:^、酸化膜中 に窒素原子が侵入することで酸化膜擁酵 T o Xがほぼ 1 . 4 η inまで減少し、 しかもリーク電流の増加が抑制される力 S、窒化処理を長く すると図中に Xで示 すボイントにおいてターンアラウンド現象が生じ、リーク電流が減少を開始すると ともに、酸化換算麟 To Xが増加をはじめる。 これは酸窒化膜 12 A形成の際の 酸化膜 12中への大規模な窒素原子の侵入に伴い、膜中の酸素原子がシリコン基板 中に侵入を開合し、結果的に酸窒化膜 12 Aの物理的な膜厚が増大してしまうこと によるものと考えられる。 このようなシリコン基板中への酸素原子の侵入は、酸窒 化膜 12 Aとシリコン基板 12との間の界面を劣化させる。図 2 Cの工程にぉレ、て 酸化膜 12中に窒素を導入して酸窒化膜 12 Aを形成する齢には、 fflBターンァ ラウンドボイント直前の状態を実現することにより、酸窒化膜 12 Aの膜質を損な うことなく、 その酸化膜換算 J^TToxを最小化することが可能になる。
—方、歸己図 2 Cの窒化処理を高い処班で実行した:^には、膜中に取り込ま れる窒素原子の量が少ないため、図 5中に赚で示すように酸化膜換算 SD¥の減少 はわずかであるが、酸化膜換算 )¥の減少に伴うリーク電流の増加はさらに抑制さ れる。すなわち、図 5中、石鎌で示すカーブの勾配は、実線で示すカーブの勾配よ りも緩くなつている。
そこで、図 6に示すように許容されるリーク電流の値を一 1. 8 印加時に おいて 1 A/cm2とすると、 図 2 Bの状態での酸化膜の ,、 すなわち矢印 Aで 示す初期膜厚が例えば 1. 45n m以下である場合、 5~7Paでの窒化処理によ り窒素原子を導入した には、リーク電流値が鍵己許容範囲を超えてしまうのが わかる。
図 6の例では、矢印 Bで示す酸化膜 12の初期膜厚が約 1. 6n mである場合に ターンアラウンドボイント直前でのリーク電流密度が、 t&f己窒化処理を 5〜 7 P a の低圧で行つた に許容限界値とほぼ等しレヽ値になってレヽる。 このことから、前 記酸化膜 12の初期 Hffが約 1. 6 II m以下の:^は、漏己窒化処理を 5〜 7 P a の低圧で行った にはリーク電流が tin己許容値を超えてしまい、 ttriB窒化処理は このような低い処理圧ではなく 60〜: 130Paの高い処理圧で行うのが好まし いと結論される。
tff!B窒化処理を 60〜130Paの圧力範囲で実行した には、娜廳の減 少に伴うリーク電流増大の割合が小さいため、初期廳が 1. 6nm未満であって もリーク電流値が 1 AZ c m2以下の要件を満足することができる。
一方、嫌己酸化膜の初期廳が 1 . 6 n mを超えている には、図 2 Cの窒化 処理工程にぉ 、て処 を 3ひ P aよりも低く、好ましくは 5〜 7 P aの範囲に設 定するのが好ましい。.
このように、酸化膜の窒化処理の際、本発明によれば酸化膜の初期 I»の値に応 じて窒化処理の際の処 を選択することにより、得られる酸窒化膜のリーク電流 値を所望の許容範囲内に収めることが可能になる。
以上、本発明を好ましい実施例について説明した力 本発明は上記の特定の実施 例に限定されるものではなく、特許請求の範囲に記載した要旨内において様々な変 形.変更が可能である。 産業上の利用可能性
本発明によれば、酸化膜を、平面アンテナを介して導入されるマイクロ波によつ て励起された窒素ラジカルで窒化処理する際に、酸化膜の初期酵に応じて処班 を選択することにより、リーク電流特性をはじめとする; ¾ϋな特性を有する酸窒化 膜を得ることができる。

Claims

請求の範囲
1 . シリコン基板表面に酸化膜を形成する工程と、
fit己酸化膜を、マイク口波プラズマ中において励起された窒素ラジカルあるいは 窒素ィオンに曝露し、嫌己酸化膜中に窒素原子を導入する工程とよりなることを特 徴とする基板処理方法。
2. 歸己酸化膜を形成する工程は、 Iff!己酸化膜を 1. 6 n m以下の厚さに形成 する工程よりなり、
IB窒素ラジカルに曝露する工程は、 6 O P aを超える処班カ下で実行される ことを特徴とする請求項 1記載の纖処 a ^法。
3. ΙίϊΐΒ窒素ラジカルに曝露する工程は、 6 0 P aを超え 1 3 0 P a以下の範 囲の処 SJE力で実行されることを特徴とする請求項2記載の ¾¾処¾^法。
4. tiHE酸化膜を形成する工程は、嫌己酸化膜を 1 . 6 nmを超える厚さに形 成する工程よりなり、
嫌己窒素ラジカルに曝露する工程は、 3 0 P a未満の処班力で節されること を特徴とする請求項 1記載の基板処理方法。
5. ΙίίΙΒ窒素ラジカルに曝露する工程は、 5 ~ 7 P aの範囲の処 力で^1 されることを難とする請求項 4記載の基板処理方法。
6. 嫌己窒素ラジカルに曝露する工程は、当初の酸化膜の物理的な醇が増大 しないような時間内で実行されることを特徴とする請求項 1〜 5のうち、いずれか 一項記载の纖処理方法。
7. tfflB窒素ラジカルに曝露する工程は、 tfft己シリコン基板表面に、前記シリ コン基板表面に対面して配設された天板の周囲に配置されたガス供給口から一様 に希ガスと窒素を含むガスとを供給する工程と、觸己天板の背後から、漏己天板を 介してマイク口波を供給し、 Ιΐίΐ己希ガスを励起することにより、窒素ラジカルを含 む希ガスブラズマを形成する工程とよりなることを特徴とする請求項 1〜 6のう ち、 いずれか一項記載の基板処 法。
8. 廳己マイク口波を供給する工程は、 ΙϋΐΗ天板の上部に設置された平面アン テナを介して前記マイク口波を導入する工程を含むことを特徴とする請求項 7記 載の基板処 法。
PCT/JP2003/006080 2002-05-16 2003-05-15 Procede de traitement de substrat WO2003098678A1 (fr)

Priority Applications (5)

Application Number Priority Date Filing Date Title
AU2003231516A AU2003231516A1 (en) 2002-05-16 2003-05-15 Method of treating substrate
JP2004506074A JP4256340B2 (ja) 2002-05-16 2003-05-15 基板処理方法
US10/988,561 US7232772B2 (en) 2002-05-16 2004-11-16 Substrate processing method
US11/616,217 US7429539B2 (en) 2002-05-16 2006-12-26 Nitriding method of gate oxide film
US12/202,095 US20090035950A1 (en) 2002-05-16 2008-08-29 Nitriding method of gate oxide film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-141654 2002-05-16
JP2002141654 2002-05-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/988,561 Continuation-In-Part US7232772B2 (en) 2002-05-16 2004-11-16 Substrate processing method

Publications (1)

Publication Number Publication Date
WO2003098678A1 true WO2003098678A1 (fr) 2003-11-27

Family

ID=29544952

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/006080 WO2003098678A1 (fr) 2002-05-16 2003-05-15 Procede de traitement de substrat

Country Status (5)

Country Link
US (3) US7232772B2 (ja)
JP (2) JP4256340B2 (ja)
AU (1) AU2003231516A1 (ja)
TW (1) TW200405582A (ja)
WO (1) WO2003098678A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006082718A1 (ja) * 2005-02-01 2006-08-10 Tohoku University 誘電体膜及びその形成方法
JP2007311474A (ja) * 2006-05-17 2007-11-29 Tokyo Electron Ltd 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
WO2005086215A1 (ja) 2004-03-03 2005-09-15 Tokyo Electron Limited プラズマ処理方法及びコンピュータ記憶媒体
JP2006253311A (ja) * 2005-03-09 2006-09-21 Toshiba Corp 半導体装置及びその製造方法
JP5595481B2 (ja) * 2009-03-31 2014-09-24 アプライド マテリアルズ インコーポレイテッド 選択的窒素化の方法
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US20130341692A1 (en) * 2012-06-22 2013-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Novel [N] Profile in Si-Ox Interface for CMOS Image Sensor Performance Improvement
EP3113224B1 (en) * 2015-06-12 2020-07-08 Canon Kabushiki Kaisha Imaging apparatus, method of manufacturing the same, and camera
EP3465696B1 (en) 2016-05-26 2020-04-15 Kurion, Inc. System for storage container with removable shield panels
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015507A (ja) * 1999-06-30 2001-01-19 Toshiba Corp シリコン酸化窒化膜の形成方法
JP2001274151A (ja) * 2000-03-24 2001-10-05 Tokyo Electron Ltd プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP2003068731A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 絶縁膜の形成方法および形成システム

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US6287988B1 (en) * 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000332009A (ja) 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
JP2002151684A (ja) * 2000-11-09 2002-05-24 Nec Corp 半導体装置及びその製造方法
JP3916565B2 (ja) 2001-01-22 2007-05-16 東京エレクトロン株式会社 電子デバイス材料の製造方法
CN100585814C (zh) 2001-01-25 2010-01-27 东京毅力科创株式会社 等离子体处理方法
JP2002368122A (ja) * 2001-06-12 2002-12-20 Nec Corp 半導体装置及びその製造方法
US7250375B2 (en) * 2001-08-02 2007-07-31 Tokyo Electron Limited Substrate processing method and material for electronic device
JP2003068850A (ja) 2001-08-29 2003-03-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP4090225B2 (ja) 2001-08-29 2008-05-28 東京エレクトロン株式会社 半導体装置の製造方法、及び、基板処理方法
JP4147017B2 (ja) 2001-10-19 2008-09-10 東京エレクトロン株式会社 マイクロ波プラズマ基板処理装置
JP4048048B2 (ja) 2001-12-18 2008-02-13 東京エレクトロン株式会社 基板処理方法
US7226848B2 (en) 2001-12-26 2007-06-05 Tokyo Electron Limited Substrate treating method and production method for semiconductor device
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
JP2004047599A (ja) * 2002-07-10 2004-02-12 Renesas Technology Corp 半導体装置およびその製造方法
JP2004079729A (ja) * 2002-08-15 2004-03-11 Renesas Technology Corp 半導体装置
JP2004152929A (ja) * 2002-10-30 2004-05-27 Nec Electronics Corp 半導体装置及びその製造方法
JP4694108B2 (ja) 2003-05-23 2011-06-08 東京エレクトロン株式会社 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
JP4408653B2 (ja) 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001015507A (ja) * 1999-06-30 2001-01-19 Toshiba Corp シリコン酸化窒化膜の形成方法
JP2001274151A (ja) * 2000-03-24 2001-10-05 Tokyo Electron Ltd プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP2003068731A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 絶縁膜の形成方法および形成システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006082718A1 (ja) * 2005-02-01 2006-08-10 Tohoku University 誘電体膜及びその形成方法
JP2007311474A (ja) * 2006-05-17 2007-11-29 Tokyo Electron Ltd 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法

Also Published As

Publication number Publication date
US7429539B2 (en) 2008-09-30
JPWO2003098678A1 (ja) 2005-09-22
JP4256340B2 (ja) 2009-04-22
TW200405582A (en) 2004-04-01
US20090035950A1 (en) 2009-02-05
US20050176223A1 (en) 2005-08-11
TWI325184B (ja) 2010-05-21
JP2008288620A (ja) 2008-11-27
US7232772B2 (en) 2007-06-19
US20070134895A1 (en) 2007-06-14
JP4795407B2 (ja) 2011-10-19
AU2003231516A1 (en) 2003-12-02

Similar Documents

Publication Publication Date Title
JP4795407B2 (ja) 基板処理方法
JP4926219B2 (ja) 電子デバイス材料の製造方法
JP5283147B2 (ja) 半導体装置および半導体装置の製造方法
KR100980528B1 (ko) 금속계막의 탈탄소 처리 방법, 성막 방법 및 반도체 장치의제조 방법
JP2000294550A (ja) 半導体製造方法及び半導体製造装置
CN1926670B (zh) 等离子体处理方法
KR20090009283A (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
JP2005150637A (ja) 処理方法及び装置
WO2006046634A1 (ja) ゲート絶縁膜の形成方法,半導体装置及びコンピュータ記録媒体
KR20070112830A (ko) 절연막의 제조 방법 및 반도체 장치의 제조 방법
US6780788B2 (en) Methods for improving within-wafer uniformity of gate oxide
US20080187747A1 (en) Dielectric Film and Method of Forming the Same
WO2004077542A1 (ja) 基板処理方法
KR20090089818A (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스 제조 장치 및 반도체 디바이스 제조 시스템
WO2006025164A1 (ja) 半導体装置の製造方法
TWI290744B (en) Method for processing substrate
JP2007288069A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004506074

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 10988561

Country of ref document: US

122 Ep: pct application non-entry in european phase