TWI290744B - Method for processing substrate - Google Patents

Method for processing substrate Download PDF

Info

Publication number
TWI290744B
TWI290744B TW092104310A TW92104310A TWI290744B TW I290744 B TWI290744 B TW I290744B TW 092104310 A TW092104310 A TW 092104310A TW 92104310 A TW92104310 A TW 92104310A TW I290744 B TWI290744 B TW I290744B
Authority
TW
Taiwan
Prior art keywords
oxide film
film
substrate
processing method
substrate processing
Prior art date
Application number
TW092104310A
Other languages
Chinese (zh)
Other versions
TW200308021A (en
Inventor
Masaru Sasaki
Takuya Sugawara
Seiji Matsuyama
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200308021A publication Critical patent/TW200308021A/en
Application granted granted Critical
Publication of TWI290744B publication Critical patent/TWI290744B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method for processing a substrate comprises a step of nitriding the surface of an oxide film present on a silicon substrate through supplying nitrogen in a radical state to the surface, so as to form an oxynitride film, and then a step of supplying oxygen in a radical state to the surface of the oxynitride film.

Description

1290744 玖、發明說明: 【發明所屬之技術領域】 本發明一般與基板處理技術有關,特別與形成絕緣膜於基 板上之基板處理方法有關。 【先前技術】 隨微細化技術之發展,目前已漸有可能製造以具有0.1 // m 以下閘極長度之MOS型電場效應電晶體(MOSFET)為代表之 超微細化半導體裝置。 於此種超微細化半導體裝置,伴隨閘極長度之縮短而欲提 升半導體裝置之工作速度時,須要將閘極絕緣膜厚度依定標 法則減少。例如以先前熱氧化膜使用為閘極絕緣膜時,須要 將閘極絕緣膜厚度減少至先前之2.0 nm以下。但如將氧化膜 厚度如此減少時,因隧道效應使通過氧化膜之閘極漏洩電流 增大。 為此,檢討以Ta205或Zr02等高電介質膜(即所謂high-K膜) 取代先前矽氧化膜使用為閘極絕緣膜。但此等高電介質膜與 半導體技術先前之矽氧化膜之性質大不相同,使用此等高電 介質膜為閘極絕緣膜時尚有許多需要解決之課題。 相對於此,矽氮化膜為半導體處理先前之材料,且具有矽 氧化膜之2倍之介電常數比,故當做下一世代高速半導體裝 置之閘極絕緣膜為極有希望之材料。 向來矽氮化膜通常以CVD法形成。但此種CVD氮化膜一般 其Si/SiN界面特性差,不適合當做閘極絕緣膜。因此從未試 用氮化膜為閘極。 83563 1290744 但最近提示在被微波激發之Ar或Kr等稀有氣體之電漿中 導入氮或氮與氫或如NH3氣體之含氮氣體,使發生N自由基 或NH自由基,以氮化處理將矽氧化膜表面變換為氧氮化膜 之技術。如此形成之氧氮化膜具有與熱氧化膜相當、甚或以 上之漏戌電流特性,故被認為當做下一世代高速半導體裝置 之閘極絕緣膜極有希望。另外亦有提示將碎基板表面以此種 微波電漿直接氮化之技術。1290744 发明, DESCRIPTION OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention relates generally to substrate processing techniques, and more particularly to substrate processing methods for forming an insulating film on a substrate. [Prior Art] With the development of the miniaturization technology, it has become increasingly possible to manufacture an ultra-fine semiconductor device represented by a MOS type electric field effect transistor (MOSFET) having a gate length of 0.1 // m or less. In such an ultra-fine semiconductor device, when the operating speed of the semiconductor device is to be increased in accordance with the shortening of the gate length, it is necessary to reduce the thickness of the gate insulating film according to the calibration rule. For example, when a prior thermal oxide film is used as a gate insulating film, it is necessary to reduce the thickness of the gate insulating film to a thickness of 2.0 nm or less. However, if the thickness of the oxide film is thus reduced, the leakage current through the gate of the oxide film is increased by the tunneling effect. For this reason, it is reviewed that a high dielectric film such as Ta205 or Zr02 (so-called high-K film) is used as a gate insulating film instead of the previous tantalum oxide film. However, these high dielectric films are quite different from the properties of the prior art germanium oxide films. The use of such high dielectric films as gate insulating films has many problems to be solved. On the other hand, the tantalum nitride film is a material for semiconductor processing and has a dielectric constant ratio twice that of the tantalum oxide film, so that it is a highly promising material for the gate insulating film of the next generation high-speed semiconductor device. The nitride film is usually formed by a CVD method. However, such a CVD nitride film generally has poor Si/SiN interface characteristics and is not suitable as a gate insulating film. Therefore, the nitride film has never been tried as a gate. 83563 1290744 However, it has recently been suggested that nitrogen or nitrogen and hydrogen or a nitrogen-containing gas such as NH3 gas are introduced into the plasma of a rare gas such as Ar or Kr excited by microwaves, so that N radicals or NH radicals are generated and treated by nitriding. The technique of converting the surface of the tantalum oxide film into an oxynitride film. The oxynitride film thus formed has a leakage current characteristic equivalent to or higher than that of the thermal oxide film, and is considered to be highly promising as a gate insulating film for a next-generation high-speed semiconductor device. There are also techniques for direct nitriding the surface of the fractured substrate with such microwave plasma.

但是以此種微波激發之氮化氫基NH*或氮基N*改變形成 在碎基板上之碎氧化膜表面時’起初隨氮化時間之增加碎氧 化膜表面之氧原子被取代為氮原子,膜全體之氧化膜換算膜 厚度減少,而漏洩電流值亦較同一換算膜厚度之矽氧化膜減 少,但超過某一氮化時間時膜全體之換算膜厚度反而增加而 發生所謂轉向(turn around)現象。 發生此種轉向現象時會發生MOS電晶體之移動度劣化及 扁帶電壓、臨限值電壓之變化,因此無法獲得所希望之電晶 體特性(參考文獻Takuya Sugawara,Toshio Nakanishi,Masaru Sasaki,Shigenori Ozaki, Yoshihide Tada? Extended Abstracts of Solid State Devices and Materials,2002,pp.714_715) 〇 【發明内容】 在此,本發明以解決前述課題,提供新穎有用之基板處理 方法為概括課題。 本發明之更具體課題為以電漿氮化處理在矽基板表面或 矽基板上氧化膜表面形成氧氮化膜時,提供能夠回復轉向後 發生電氣特性劣化之基板處理方法。 83563 1290744 本發明之其他課題為提供包含:供給氮基以氮化矽基板上 之氧化膜表面形成氧氮化膜之步騾,及 前述形成氧氮化膜之步驟後供給氧基於前述氧氮化膜表 面之步驟,為特徵之基板處理方法。 依據本發明,以氮基氮化處理氧化膜結果,就算發生先前 說明之轉向現象使矽基板表面與氧氮化膜之間之界面特性 劣化,可進行氧基處理使移動度等電氣特性回復。此乃可能However, when such a microwave-excited hydrogen nitride-based NH* or nitrogen-based N* is formed on the surface of the broken oxide film formed on the crushed substrate, the oxygen atom on the surface of the oxide film is replaced with a nitrogen atom at the beginning as the nitridation time increases. The oxide film conversion film thickness of the entire film is reduced, and the leakage current value is also reduced by the 换算 oxide film of the same conversion film thickness. However, when the nitridation time exceeds a certain nitridation time, the conversion film thickness of the entire film is increased to cause a so-called turn (turn around )phenomenon. When such a turning phenomenon occurs, the mobility deterioration of the MOS transistor and the change of the flat band voltage and the threshold voltage occur, so that the desired transistor characteristics cannot be obtained (References Takuya Sugawara, Toshio Nakanishi, Masaru Sasaki, Shigenori Ozaki) [Yoshihide Tada? Extended Abstracts of Solid State Devices and Materials, 2002, pp. 714_715] 〇 [Summary of the Invention] The present invention has been made to solve the above problems, and to provide a novel and useful substrate processing method as a general subject. A more specific problem of the present invention is to provide a substrate processing method capable of returning electrical characteristics after returning to the oxidized film to form an oxynitride film on the surface of the ruthenium substrate or the oxide film on the ruthenium substrate. 83563 1290744 Another object of the present invention is to provide a step of forming an oxynitride film on a surface of an oxide film on a tantalum nitride substrate by supplying a nitrogen group, and supplying an oxy group to the oxynitridation after the step of forming an oxynitride film The step of the film surface is a characteristic substrate processing method. According to the present invention, as a result of the treatment of the oxide film by nitrogen nitriding, even if the deflection phenomenon described earlier deteriorates the interface characteristics between the surface of the ruthenium substrate and the oxynitride film, the oxy group treatment can be performed to restore electrical characteristics such as mobility. This is possible

由於氧基到達碎基板/氧氮化膜界面,修復碎基板與氧氮化膜 界面領域之低氧化物及懸空鍵等缺陷所致。此種因氧基處理 形成之氧氮化膜雖發生換算膜厚度之增加,但如使用碎基板 表面存在之自然氧化膜或化學氧化膜為起始氮化處理之氧 化膜,則可能將形成之氧氮化膜之厚度控制在最小限度。 【實施方式】 第一實施例 圖1為使用於本發明之電漿基板處理裝置10之概略構成。 參照圖1,電漿基板處理裝置10具有形成支持被處理基板 _ w之基板支持台12之處理容器11,處理容器丨丨由排氣孔11A 進行排氣。 - 前述處理容器11之上方形成開口部,與前述基板支持台12 上之被處理基板W相對應’前述開口邵由石英等低損失陶毫 組成之蓋板13覆蓋。 如述蓋板13形成微波窗口’而在前述蓋板13之外侧形成輕 射線隙缝天線(radial line slot antenna)或號角天線等微波天 線15。位於蓋板13下方真空處理容器η之上方侧壁沿四周設 83563 1290744 有均等配置氣孔之供氣管14。 工作時前述處理容器11之内部處理空間經由前述排氣孔 11A、11B排氣而設定為既定處理壓,再由前述供氣管14將 Ar或Κι:等惰性氣體及氧氣或氮氣同時導入。 _ 其次經由前述微波天線15導入數GHz頻率之微波,在前述 處理容器11中之被處理基板W表面激發高密度微波電漿。經 ~ 由微波天線15導入微波以激發電漿之結果,在圖1之基板處 ' 理裝置中電漿之電子溫度低,故能避免被處理基板W及處理 __ 容器11内壁受損。而電漿之電子溫度及電子密度在廣範區域 内形成均勻分佈。再者,所形成之游離基沿被處理基板W表 面向徑方向流動並快速排氣,故能抑制自由基再結合,而使 有效率且極均勻之基板處理在550°C以下低溫成為可能。 圖2A〜2C顯示使用圖1之基板處理裝置10之本發明之第一 實施例之基板處理方法。 參照圖2A,將矽基板21當做前述被處理基板W放入前述基 板處理裝置10之處理容器11中,由供氣管I4導入Κι*或Ar、或 鲁 He與氧氣之混合氣體,使其微波電聚激發而形成原子狀氧 〇*。以此原子狀氧0*處理前述矽基板21表面結果,如圖2B : 所示,在矽基板21表面形成矽氧化膜22。如此形成之矽氧化 膜22,雖然在400°C左右之極低基板溫度形成,但具有與800 °C以上高溫形成之熱氧化膜相當之漏洩電流特性。又前述矽 氧化膜22亦可為熱氧化膜或化學氧化膜或自然氧化膜。 其次於圖2C之步騾,供給Ar與氮氣之混合氣體於圖1之處 理容器11中,設定基板處理溫度為40(TC並經由天線15供給 83563 1290744 微波以激發電漿。 於圖2C之步騾,設定處理容器11之内部壓力為7 Pa,將Ar 氣以例如1000 SCCM流量、氮氣以例如40 SCCM流量供給, 再將2.45 GHz微波以1500 W電力供給。其結果,前述矽氧化 膜22表面之氧原子接受氮基之氮化處理而被氮原子取代。於 是矽氧化膜22變換為矽氧氮化膜22A。 於圖2C步騾之處理,由於在矽氧化膜22表面附近引起反 應,故因氮取代產生之氧原子由矽氧氮化膜22A表面脫離, 而物理的膜厚度則相同或減少。又因氧原子被氮原子取代致 介電常數上升,而氧化膜之換算膜厚度則減少。初期反應在 矽氧氮化膜22A表面附近發生,但隨反應時間增加,反應的 發生内面較多於表面。於是圖2C步騾之處理繼續進行,例如 經過40秒以上時,取代反應變成在矽氧氮化膜22A内面發 生,而因氮原子取代產生之氧原子可能到達矽氧氮化膜22A 與珍基板21之交界面。到達秒基板21之氧原子在此與碎反應 形成新的碎氧化膜。如此長時間進行氮化時,形成新的碎氧 化膜而矽氧化膜之換算膜厚度則增加。這種隨氮化時間之增 加而使矽氧化膜之換算膜厚度由減少轉變為增加之現象稱 為轉向現象,而發生轉向現象時己知產生如前述之特性劣 化。 圖3A〜3C顯示在轉向點前後之矽氧化膜22與矽氧氮化膜 22 A之概略情形。 參照圖3A〜3C時,圖3A與圖2B之步騾相對應,顯示在矽基 板21上形成矽氧化膜22之狀態,圖3B與圖2C之步騾之初期狀 83563 -10- 1290744 態相對應,前述矽氧化膜22表面之氧原子之一部分被氮原子 取代,形成矽氧氮化膜22A薄膜。 對此,圖3C與超過轉向點之圖2C之步騾之後期狀態相對 應,隨矽氧氮化膜22A之成長,被氮原子取代由矽脫離之氧 原子在矽基板21之交界面反應,形成新的矽氧化膜,故矽氧 化膜22好像在矽基板21中移位之狀態。圖3B及3C中A為矽氧 化膜22與碎基板21之起初之交界面。 轉向時形成之矽氧化膜其反應性弱,在交界面形成矽氧化 膜時容易形成弱Si-Ο結合(低氧化物)或懸空键等。如此種結 合多數存在時膜之界面特性劣化,製成MOS電晶體時發生移 動度之劣化或臨限值電壓之變化。 因此本發明在圖2D之步驟,與圖2A之步驟相同形成Ar與 氧氣之混合氣體電漿,激發原子狀氧0*。 如此激發之原子狀氧0*不同於一般氧分子〇2,其反應性強 且可能自由通過矽氧氮化膜22 Α中,容易到達矽氧氮化膜 22 A下面之矽氧化膜22,及進一步到達矽氧化膜22與矽基板 21之交界面附近,修復低氧化物或懸空键。其結果,如進行 圖2D之02游離基處理時,可能回復對矽氧化膜22進行超過轉 向點之電漿氮化處理所產生之特性劣化。 圖4顯示矽氧化膜進行此種氮化處理及Ο 2游離基處理所得 絕緣膜之漏洩電流特性Jg與氧化膜換算膜厚度Teq之關係。 參照圖4時可知矽氧化膜22之膜厚度在起初(tfO秒)為1.85 nm,但進行前述氮化處理10秒鐘後(t2=l 〇秒)形成珍氧氮化膜 22A時,氧化膜換算膜厚度Teq減少至約1.65 nm。又此時之 83563 -11 - 1290744 漏洩電流值Jg則減少至厚度1.65 nm熱氧化膜預期具有值之 2/3左右。同樣,如進行前述氮化處理20秒鐘時(t3=20秒),含 矽氧化膜22與矽氧氮化膜22A之絕緣膜全體之氧化膜換算膜 厚度Teq再減少,且漏洩電流值Jg亦減少至熱氧化膜之約一 ’ 半程度。另一方面,如繼續進行前述氮化處理40秒鐘時(t4=40 秒),氧化膜換算膜厚度Teq更加減少,接近1.5 nm。在此狀 態下被氮原子取代之氧原子開始侵入矽基板21中,矽氧化膜 ’ 22與矽氧氮化膜22A之合計物理膜厚度則開始增大。因此如 __ 再進行長時間之氮化,則如圖4中虛線箭號所示,矽氧化膜 22與矽氧氮化膜22A之膜全體之換算膜厚度轉換為增加。 相對於此,如參照顯示扁帶電壓與氧化膜換算膜厚度Teq 之關係之圖5時,可知圖2C之氮化處理時間為20秒鐘以内時 (t3=20秒),在前述矽氧氮化膜22A上形成聚矽閘極之MOS構 造之扁帶電壓為約-0.79 V而殆無變化,但繼續進行氮化而氮 化處理時間達40秒鐘時(t4=40秒),急速變化至-0.807 V。此 乃起因於先前在圖3C所示之轉向現象,在矽氧化膜22與矽基 鲁 板21之界面產生多含低氧化物或懸空键之界面,而此種界面 成為電子陷阱,使扁帶電壓向負方向位移所致。 : 又在圖5中,以▲顯示對進行前述氮化處理40秒鐘而超過 轉向點之樣品實施圖2D之氧基處理10秒鐘時之扁帶電壓。但 該氧基處理在處理壓力130 Pa下、設定基板溫度為400°C、Since the oxy group reaches the interface of the broken substrate/oxynitride film, defects such as low oxides and dangling bonds in the interface between the broken substrate and the oxynitride film are repaired. Although the oxynitride film formed by the oxy group treatment has an increase in the thickness of the conversion film, if a natural oxide film or a chemical oxide film existing on the surface of the substrate is used as the oxide film for the initial nitridation treatment, it may be formed. The thickness of the oxynitride film is kept to a minimum. [Embodiment] FIG. 1 is a schematic configuration of a plasma substrate processing apparatus 10 used in the present invention. Referring to Fig. 1, a plasma substrate processing apparatus 10 has a processing container 11 for forming a substrate supporting table 12 for supporting a substrate to be processed, and a processing container 排气 is exhausted by a vent hole 11A. An opening is formed above the processing container 11, and corresponds to the substrate W to be processed on the substrate supporting table 12. The opening is covered by a cover plate 13 composed of a low loss ceramic such as quartz. The cover plate 13 forms a microwave window ', and a microwave antenna 15 such as a radial line slot antenna or a horn antenna is formed on the outer side of the cover plate 13. The upper side wall of the vacuum processing container η located below the cover plate 13 is provided with an air supply pipe 14 having uniformly arranged air holes along the periphery of 83563 1290744. In the operation, the internal processing space of the processing container 11 is exhausted through the exhaust holes 11A and 11B to a predetermined processing pressure, and the inert gas such as Ar or Κ: is introduced into the gas supply pipe 14 simultaneously with oxygen or nitrogen. Next, a microwave having a frequency of several GHz is introduced through the microwave antenna 15, and a high-density microwave plasma is excited on the surface of the substrate W to be processed in the processing container 11. As a result of introducing microwaves from the microwave antenna 15 to excite the plasma, the electron temperature of the plasma in the substrate of Fig. 1 is low, so that the substrate W and the inner wall of the processing container 11 can be prevented from being damaged. The electron temperature and electron density of the plasma are uniformly distributed in a wide area. Further, since the formed radicals flow in the radial direction along the surface of the substrate W to be processed and are rapidly exhausted, radical recombination can be suppressed, and efficient and extremely uniform substrate treatment at a low temperature of 550 ° C or lower is possible. 2A to 2C show a substrate processing method of the first embodiment of the present invention using the substrate processing apparatus 10 of Fig. 1. Referring to Fig. 2A, the ruthenium substrate 21 is placed in the processing container 11 of the substrate processing apparatus 10 as the substrate to be processed W, and a gas mixture of Κι* or Ar or Lu He and oxygen is introduced from the gas supply pipe I4 to be microwave-electricized. The poly-excitation forms an atomic oxygen 〇*. As a result of treating the surface of the tantalum substrate 21 with the atomic oxygen 0*, as shown in FIG. 2B, the tantalum oxide film 22 is formed on the surface of the tantalum substrate 21. The tantalum oxide film 22 thus formed is formed at an extremely low substrate temperature of about 400 ° C, but has a leakage current characteristic equivalent to a thermal oxide film formed at a high temperature of 800 ° C or higher. Further, the ruthenium oxide film 22 may be a thermal oxide film or a chemical oxide film or a natural oxide film. Next, in the step of Fig. 2C, a mixed gas of Ar and nitrogen is supplied to the processing vessel 11 of Fig. 1, and the substrate processing temperature is set to 40 (TC and a microwave of 83563 1290744 is supplied via the antenna 15 to excite the plasma. Step 2C That is, the internal pressure of the processing container 11 is set to 7 Pa, the Ar gas is supplied at a flow rate of, for example, 1000 SCCM, nitrogen gas at, for example, 40 SCCM, and the 2.45 GHz microwave is supplied at 1500 W. As a result, the surface of the tantalum oxide film 22 is supplied. The oxygen atom is subjected to nitridation treatment of the nitrogen group and is replaced by a nitrogen atom, so that the tantalum oxide film 22 is converted into the hafnium oxynitride film 22A. Since the treatment in the step of FIG. 2C causes a reaction near the surface of the tantalum oxide film 22, The oxygen atom generated by the nitrogen substitution is detached from the surface of the yttrium oxynitride film 22A, and the physical film thickness is the same or decreased. The dielectric constant is increased due to the replacement of the oxygen atom by the nitrogen atom, and the converted film thickness of the oxide film is decreased. The initial reaction occurs near the surface of the hafnium oxynitride film 22A, but as the reaction time increases, the inner surface of the reaction occurs more on the surface. Thus, the process of step 2C continues, for example, after 40 seconds or more. The substitution reaction occurs on the inner surface of the hafnium oxynitride film 22A, and the oxygen atom generated by the substitution of the nitrogen atom may reach the interface between the hafnium oxynitride film 22A and the substrate 21. The oxygen atoms reaching the second substrate 21 are broken here. The reaction forms a new broken oxide film. When nitriding is performed for a long time, a new broken oxide film is formed and the converted film thickness of the tantalum oxide film is increased. This conversion film thickness of the tantalum oxide film increases with the nitriding time. The phenomenon of transition from reduction to increase is called a turning phenomenon, and it is known that the characteristic deterioration occurs as described above when the steering phenomenon occurs. Figs. 3A to 3C show the outlines of the tantalum oxide film 22 and the tantalum oxynitride film 22 A before and after the turning point. 3A to 3C, FIG. 3A corresponds to the step of FIG. 2B, showing a state in which the tantalum oxide film 22 is formed on the tantalum substrate 21, and the initial shape of the step of FIG. 3B and FIG. 2C is 83563 -10- 1290744. Corresponding to the state, one of the oxygen atoms on the surface of the tantalum oxide film 22 is replaced by a nitrogen atom to form a thin film of the tantalum oxynitride film 22A. In this regard, FIG. 3C corresponds to the state after the step of FIG. 2C exceeding the turning point, Oxynitride film 22A The growth is replaced by a nitrogen atom by the oxygen atom desorbed at the interface of the tantalum substrate 21 to form a new tantalum oxide film, so that the tantalum oxide film 22 appears to be displaced in the tantalum substrate 21. In Figs. 3B and 3C A is the initial interface between the tantalum oxide film 22 and the crushed substrate 21. The tantalum oxide film formed at the time of turning is weak in reactivity, and weak Si-Ο bond (low oxide) or floating is easily formed when the tantalum oxide film is formed at the interface. Bonds, etc. The interfacial properties of the film are degraded in the presence of such a combination, and the deterioration of the mobility or the change of the threshold voltage occurs when the MOS transistor is fabricated. Therefore, the present invention is formed in the same manner as the step of FIG. 2A in the step of FIG. 2D. A mixed gas plasma of Ar and oxygen excites atomic oxygen 0*. The atomic oxygen 0* thus excited is different from the general oxygen molecule 〇2, and is highly reactive and may pass through the yttrium oxynitride film 22, and easily reaches the ruthenium oxide film 22 under the 矽 oxynitride film 22 A, and Further, near the interface between the tantalum oxide film 22 and the tantalum substrate 21, the low oxide or dangling bonds are repaired. As a result, when the radical treatment of 02 in Fig. 2D is performed, it is possible to recover the characteristic deterioration caused by the plasma nitriding treatment of the tantalum oxide film 22 beyond the turning point. Fig. 4 is a graph showing the relationship between the leakage current characteristic Jg of the insulating film obtained by the ruthenium oxide film and the ruthenium oxide treatment, and the oxide film conversion film thickness Teq. Referring to Fig. 4, it is understood that the film thickness of the tantalum oxide film 22 is 1.85 nm at the beginning (tfO seconds), but after the nitriding treatment for 10 seconds (t2 = 1 〇 second), the oxide film is formed. The converted film thickness Teq was reduced to about 1.65 nm. At this time, the leakage current value Jg of 83563 -11 - 1290744 is reduced to a thickness of 1.65 nm. The thermal oxide film is expected to have a value of about 2/3. Similarly, when the nitriding treatment is performed for 20 seconds (t3 = 20 seconds), the oxide film-converted film thickness Teq of the entire insulating film containing the tantalum oxide film 22 and the tantalum oxynitride film 22A is further reduced, and the leak current value Jg is decreased. It is also reduced to about a half of the thermal oxide film. On the other hand, when the nitriding treatment was continued for 40 seconds (t4 = 40 seconds), the oxide film-converted film thickness Teq was further reduced to approximately 1.5 nm. In this state, the oxygen atom substituted by the nitrogen atom starts to intrude into the ruthenium substrate 21, and the total physical film thickness of the tantalum oxide film '22 and the tantalum oxynitride film 22A starts to increase. Therefore, if nitriding is performed for a long period of time, as shown by the dotted arrow in Fig. 4, the converted film thickness of the entire film of the tantalum oxide film 22 and the tantalum oxynitride film 22A is converted to increase. On the other hand, when FIG. 5 shows the relationship between the flattening voltage and the oxide film-converted film thickness Teq, it can be seen that the nitriding treatment time of FIG. 2C is within 20 seconds (t3=20 seconds), The slab voltage of the MOS structure forming the polysilicon gate on the film 22A is about -0.79 V and there is no change in the enthalpy, but the nitriding is continued and the nitriding treatment time is 40 seconds (t4 = 40 seconds), and the rapid change To -0.807 V. This is due to the turning phenomenon previously shown in FIG. 3C, where an interface containing a plurality of low-oxide or dangling bonds is generated at the interface between the tantalum oxide film 22 and the ruthenium-based plate 21, and this interface becomes an electron trap, and the flat ribbon is made. The voltage is displaced in the negative direction. Further, in Fig. 5, the flat ribbon voltage at the time of performing the nitriding treatment for 40 seconds and performing the oxylation treatment of Fig. 2D for 10 seconds on the sample exceeding the turning point is shown by ▲. However, the oxy treatment was performed at a treatment pressure of 130 Pa, and the substrate temperature was set to 400 ° C.

Ar氣與氧氣分別以2000 SCCM及200 SCCM流量供給、並以 2000 W電力供給2.45 GHz微波之條件下實施。 參照圖5,可知對超過轉向點之樣品進行此種氧基處理 83563 -12- 1290744 時’其扁帶電壓能回復至接近起初-0.79 V之-0.793 V左右。 如此進行圖2D氧基處理之矽氧氮化膜22A,在其與矽基板 21之界面之低氧化物或懸空键被修復,因而電子陷阱減少故 扁帶電壓回復至與熱氧化膜相同之值。又由圖4可知氧化膜 換算膜厚度較起初時約略增大,但氮化之效果使漏洩電流較 熱氧化膜減低。 第二實施例 圖6 A〜6C顯示本發明之第二實施例之基板處理方法。但圖 中先前己說明之部分賦予相同之元件符號並省略說明。 參照圖6A時,矽基板21表面形成自然氧化膜32,於圖6B 之步驟在Ar氣與氮氣之混合氣體中激發電漿,以伴隨電漿激 發形成之氮基N*氮化處理前述自然氧化膜32表面。結果前述 自然氧化膜32變換為氧氮化膜32A。 於本實施例,再於圖6C之步騾繼之將氧氣導入處理容器u 中,以激發之原子狀氧0*處理氧氮化膜32A。 如此形成之原子狀氧〇*不同於氧分子,容易侵入氧氮化膜 32A中’並到達矽基板21與氧氮化膜32A之界面,有效修復 低氧化物或懸空鍵。 於本實施例,在圖6A之階段使用非常薄之自然氧化膜為矽 基板21表面之氧化膜32,結果漏洩電流jg之變化如圖7中之 曲線2所示,而在進行圖6C之氧基處理之最終狀態(圖中以▲ 表示)之熱氧化膜換算膜厚度Teqi實質上小於對應圖4之曲線 1時之熱氧化膜換算膜厚度Teqi。 又於本實施例,在圖6A之階段以氧化膜32之膜厚度小為最 83563 -13 - 1290744 重要’前述氧化膜32不僅自然氧化膜,亦可為化學氧化膜或 膜厚度為1 nm以下、較好〇·8 nm以下、最好〇·6 nm以下之氧 化膜。 以上就本發明之較佳實施例加以說明,但本發明不受前述 邊遞之限制’在記載於申請專利範圍之内容中可做各種改變 或變更。 產業上利用之可能性 依據本發明,對氮化處理氧化膜所形成之氧氮化膜繼續進 行氧基處理時,可能消除氧氮化膜與矽基板界面之懸空键等 缺陷。尤其以超過轉向點形成氧氮化膜時,進行此種氧基處 理’可回復伴隨使用此種氧氮化膜發生之移動度劣化或MOS 電晶體之扁帶電壓及臨限值電壓之變動。 【圖式簡單說明】 圖1顯示使用於本發明之基板處理裝置之構成。 圖2A〜2D顯示本發明之第一實施例之基板處理步騾。 圖3A〜3C為本發明之第一實施例之基板處理步騾之部分 放大概略圖。 圖4為說明本發明之第一實施例之效果。 圖5為說明本發明之第一實施例之效果。 圖6A〜6C顯示本發明之第二實施例之基板處理步騾。 圖7為說明本發明之第二實施例之效果。 圖式代表符號說明 10 電漿基板處理裝置 11 處理容器 83563 -14- 1290744 11A、11B 基板支持臺 12 排氣孔 13 蓋板 14 供氣管 15 微波天線 W 被處理基板 21 矽基板 22 碎氧化膜 22A 矽氧氮化膜 32 自然氧化膜 32A 氧氮化膜 Teq .Teqi .Teq2. 膜厚度 15- 83563Ar gas and oxygen were supplied at a flow rate of 2000 SCCM and 200 SCCM, respectively, and supplied under a condition of 2000 W power to 2.45 GHz microwave. Referring to Fig. 5, it can be seen that when the oxy treatment is carried out on the sample exceeding the turning point, 83563 -12 - 1290744, the flat ribbon voltage can be restored to about -0.793 V which is close to the initial -0.79 V. The oxynitride film 22A of the oxygen treatment of FIG. 2D is thus treated, and the low oxide or dangling bonds at the interface with the ruthenium substrate 21 are repaired, so that the electron trap is reduced and the flat band voltage is restored to the same value as the thermal oxide film. . Further, as shown in Fig. 4, the oxide film-converted film thickness is slightly increased from the initial stage, but the effect of nitriding causes the leakage current to be lower than that of the thermal oxide film. SECOND EMBODIMENT Figs. 6A to 6C show a substrate processing method according to a second embodiment of the present invention. However, the parts that have been previously described in the drawings are given the same reference numerals and the description is omitted. Referring to FIG. 6A, a natural oxide film 32 is formed on the surface of the ruthenium substrate 21, and a plasma is excited in a mixed gas of Ar gas and nitrogen gas in the step of FIG. 6B to treat the aforementioned natural oxidation with nitrogen-based N* nitridation formed by plasma excitation. The surface of the membrane 32. As a result, the natural oxide film 32 is converted into the oxynitride film 32A. In the present embodiment, the oxygen is introduced into the processing vessel u by the step of Fig. 6C to treat the oxynitride film 32A with the atomic oxygen 0* excited. The atomic oxyhydroxide* thus formed is different from the oxygen molecule and easily intrudes into the oxynitride film 32A and reaches the interface between the ruthenium substrate 21 and the oxynitride film 32A, effectively repairing the low oxide or dangling bonds. In the present embodiment, a very thin natural oxide film is used as the oxide film 32 on the surface of the substrate 21 at the stage of FIG. 6A, and as a result, the leakage current jg changes as shown by the curve 2 in FIG. 7, and the oxygen of FIG. 6C is performed. The thermal oxide film-converted film thickness Teqi in the final state of the base treatment (indicated by ▲ in the figure) is substantially smaller than the thermal oxide film-converted film thickness Teqi corresponding to the curve 1 of Fig. 4 . Further, in the present embodiment, the film thickness of the oxide film 32 is as small as 83563 - 13 - 1290744 at the stage of Fig. 6A. "The oxide film 32 is not only a natural oxide film but also a chemical oxide film or a film thickness of 1 nm or less. It is preferably an oxide film of 8 nm or less, preferably 〇6 nm or less. The present invention has been described with reference to the preferred embodiments of the present invention, but the invention is not limited by the foregoing description. Industrial Applicability According to the present invention, when the oxynitride film formed by the nitriding treatment oxide film is subjected to the oxy group treatment, defects such as dangling bonds at the interface between the oxynitride film and the ruthenium substrate may be eliminated. In particular, when an oxynitride film is formed beyond the turning point, the oxy-treatment is performed to recover the deterioration of the mobility caused by the use of the oxynitride film or the variation of the flat-band voltage and the threshold voltage of the MOS transistor. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 shows the configuration of a substrate processing apparatus used in the present invention. 2A to 2D show the substrate processing steps of the first embodiment of the present invention. 3A to 3C are partially enlarged schematic views showing a substrate processing step of the first embodiment of the present invention. Fig. 4 is a view for explaining the effects of the first embodiment of the present invention. Fig. 5 is a view for explaining the effects of the first embodiment of the present invention. 6A to 6C show substrate processing steps of a second embodiment of the present invention. Fig. 7 is a view for explaining the effects of the second embodiment of the present invention. Fig. Representative symbol description 10 Plasma substrate processing apparatus 11 Processing container 83563 - 14 - 1290744 11A, 11B Substrate support table 12 Vent hole 13 Cover plate 14 Air supply pipe 15 Microwave antenna W Processed substrate 21 矽 Substrate 22 Broken oxide film 22A Niobium Nitride Film 32 Natural Oxide Film 32A Oxynitride Film Teq .Teqi .Teq2. Film Thickness 15-83563

Claims (1)

,石和43職專利巾請案 在7月 中文申請專利範圍替換本(96 I_, 拾、申請專^—1 L y種基板處理方法,其特徵為包含··供給氮基於基板上 《乳化膜表面使氮化形成氧氮化膜之步驟,及 供給氧基於前述氧氮化膜表面之步驟; 其中前述形成氧氮化膜之步驟及供給氧基之步驟係在 55〇t以下之溫度進行處理。 2. 如申請專利範圍第!項之基板處理方法,其中前述氮基由 使用電漿激發含惰性氣體與氮原子之混合氣體所形成。 3. 如申請專利範圍第2項之基板處理方法,其中前述氧基由 使用電漿激發含前述惰性氣體與氧原子之混合氣體所形 成。 4. 如申請專利範圍第2項或第3項之基板處理方法,其中前 述電漿由微波激發。 5. 如申請專利範圍第4項之基板處理方法,其中在前述氧氮 化膜形成步驟後前述電衆之激發—度中斷,而前述氧基 由使用微波電漿激纟含惰性氣體與氧原子之混合氣體所 形成。 6·如申請專利範圍第}項之基板處理方法,其中前述氧化膜 為前述基板表面上之自然氧化膜。 7·如申請專利範圍第!項之基板處理方法,其中前述氧化膜 為在前述基板表面上形成之熱氧化膜或化學氧化膜。 8·如申請專利範圍第4項之基板處理方法,其中前述電漿由 照射微波於平面天線所形成。 9.如申請專利範圍第丨項之基板處理方法,其中前述氧化膜 83563-9607l〇.doc I290744r_____ 日疹(更)正替換頁 ................. —'~·τ——------™一—一J 由惰性氣體與氧氣體之混合氣體之電漿所形成。 〇·如申請專利範圍第9項之基板處理方法,其中前述惰性氣 體係Ar、He、Kr氣體。 11 ·如申請專利範圍第1項之基板處理方法,其中前述氧化膜 厚係lnm以下。 12. 一種基板處理方法,其特徵為包含: 藉由Ar與氮氣之混合氣體之電漿氮化基板上之氧化膜 表面以形成氧氮化膜之步驟;及藉由Ar與氧氣之混合氣 體之電漿於前述氧氮化膜表面生成原子狀氧,藉由該原 子狀氧修復前述基板與前述氧氮化膜之界面的缺陷之步 驟,其中前述形成氧氮化膜之步騾及前述藉由原子狀氧 修復界面的缺陷之步驟係在550°C以下之溫度進行處理。 83563-960710.doc 1290744 Γ~ Μ/月〕日錄替換頁 第092104310號專利申請案 中文圖式替換頁(95年6月) 漏洩電流密度(A/cm2), Shihe 43 patent towel request in July Chinese patent application scope replacement (96 I_, pick, application special ^ 1 L y kind of substrate processing method, which is characterized by containing · supply nitrogen based on the substrate "emulsion film surface a step of forming an oxynitride film by nitridation, and a step of supplying an oxy group to the surface of the oxynitride film; wherein the step of forming the oxynitride film and the step of supplying an oxy group are performed at a temperature of 55 〇t or less. 2. The substrate processing method of claim 2, wherein the nitrogen group is formed by using a plasma to excite a mixed gas containing an inert gas and a nitrogen atom. 3. The substrate processing method according to claim 2, wherein The foregoing oxy group is formed by using a plasma to excite a mixed gas containing the inert gas and the oxygen atom. 4. The substrate processing method according to claim 2 or 3, wherein the plasma is excited by a microwave. The substrate processing method of claim 4, wherein the excitation of the electricity is interrupted after the oxynitride film forming step, and the oxy group is excited by using a microwave plasma The substrate processing method of the invention, wherein the oxide film is a natural oxide film on the surface of the substrate. The method of processing, wherein the oxide film is a thermal oxide film or a chemical oxide film formed on the surface of the substrate. The substrate processing method according to claim 4, wherein the plasma is formed by irradiating microwaves to a planar antenna. 9. The substrate processing method according to the scope of the patent application, wherein the foregoing oxide film 83563-9607l.doc I290744r_____ Japanese rash (more) is replacing the page ................. —'~·τ——------TM-—J is formed by a plasma of a mixed gas of an inert gas and an oxygen gas. 〇 The substrate processing method of claim 9 wherein the inertia The substrate processing method according to the first aspect of the invention, wherein the oxide film has a thickness of 1 nm or less. 12. A substrate processing method, comprising: by using Ar and nitrogen Mixed gas a step of nitriding the surface of the oxide film on the substrate to form an oxynitride film; and a plasma of a mixed gas of Ar and oxygen to generate atomic oxygen on the surface of the oxynitride film, and repairing the atomic oxygen by the atomic oxygen a step of forming a defect between the substrate and the oxynitride film, wherein the step of forming the oxynitride film and the step of repairing the defect by the atomic oxygen repair interface are performed at a temperature of 550 ° C or lower. 960710.doc 1290744 Γ~ Μ/月] Daily record replacement page No. 092104310 Patent application Chinese schema replacement page (June 95) Leakage current density (A/cm2) 83563.doc83563.doc
TW092104310A 2002-02-27 2003-02-27 Method for processing substrate TWI290744B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002051746A JP2005235792A (en) 2002-02-27 2002-02-27 Substrate treatment method

Publications (2)

Publication Number Publication Date
TW200308021A TW200308021A (en) 2003-12-16
TWI290744B true TWI290744B (en) 2007-12-01

Family

ID=27764320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092104310A TWI290744B (en) 2002-02-27 2003-02-27 Method for processing substrate

Country Status (4)

Country Link
JP (1) JP2005235792A (en)
AU (1) AU2003211806A1 (en)
TW (1) TWI290744B (en)
WO (1) WO2003073492A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5283833B2 (en) 2005-09-29 2013-09-04 株式会社東芝 Manufacturing method of semiconductor device
US20080032510A1 (en) * 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
JP2012079785A (en) * 2010-09-30 2012-04-19 Tokyo Electron Ltd Reforming method of insulation film

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3402881B2 (en) * 1995-11-24 2003-05-06 株式会社東芝 Method for manufacturing semiconductor device
JP4255563B2 (en) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 Semiconductor manufacturing method and semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
AU2003211806A1 (en) 2003-09-09
JP2005235792A (en) 2005-09-02
WO2003073492A1 (en) 2003-09-04
TW200308021A (en) 2003-12-16

Similar Documents

Publication Publication Date Title
JP3916565B2 (en) Manufacturing method of electronic device material
US8021987B2 (en) Method of modifying insulating film
US7446052B2 (en) Method for forming insulation film
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4369362B2 (en) Substrate processing method
US7250375B2 (en) Substrate processing method and material for electronic device
JP4795407B2 (en) Substrate processing method
TWI402912B (en) Manufacturing method of insulating film and manufacturing method of semiconductor device
US7037816B2 (en) System and method for integration of HfO2 and RTCVD poly-silicon
JPWO2008117798A1 (en) Method for forming silicon nitride film, method for manufacturing nonvolatile semiconductor memory device, nonvolatile semiconductor memory device, and plasma processing apparatus
WO2003056622A1 (en) Substrate treating method and production method for semiconductor device
TW200402093A (en) Manufacturing method of electronic device material
CN100514573C (en) Substrate processing method
TWI290744B (en) Method for processing substrate
US7928020B2 (en) Method of fabricating a nitrogenated silicon oxide layer and MOS device having same
JP2005252031A (en) Plasma nitriding method
TW200301311A (en) Method for processing substrates
JP2004214305A (en) Semiconductor device and manufacturing method thereof
JP2004259979A (en) Semiconductor device and method for manufacturing it

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees