US20140017900A1 - Plasma etching apparatus and plasma etching method - Google Patents

Plasma etching apparatus and plasma etching method Download PDF

Info

Publication number
US20140017900A1
US20140017900A1 US14/007,695 US201214007695A US2014017900A1 US 20140017900 A1 US20140017900 A1 US 20140017900A1 US 201214007695 A US201214007695 A US 201214007695A US 2014017900 A1 US2014017900 A1 US 2014017900A1
Authority
US
United States
Prior art keywords
substrate
outer edge
plasma
wafer
edge portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/007,695
Other languages
English (en)
Inventor
Shigeki Doba
Satoshi Yamada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DOBA, SHIGEKI, YAMADA, SATOSHI
Publication of US20140017900A1 publication Critical patent/US20140017900A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relates to a plasma etching apparatus and a plasma etching method.
  • Semiconductor devices stacked in the vertical direction may include electrodes that are arranged to penetrate through a substrate made of silicon, for example. In this way, the semiconductor devices may be electrically connected via the electrodes.
  • a resist is applied on the substrate using a coater, the resist is exposed using an exposure apparatus, and a resist pattern is developed using a developing apparatus. The resist is then used as a mask to etch the substrate using a plasma etching apparatus to create a through hole or a via hole. After creating the through hole or via hole in the substrate, the resist remaining on the substrate is removed by ashing.
  • the resist applied on the substrate may come into contact with a substrate carrier or a transfer arm and come off during transfer of the substrate, and this may result in the generation of dust. Accordingly, after applying the resist on the substrate, the resist is removed from the rear surface and the outer edge portion including a bevel portion of the substrate by a back rinse mechanism and a bevel rinse mechanism of the coater using an organic solvent, for example. In this way, dust may be prevented from being generated as a result of the resist coming off of the outer edge portion of the substrate (See e.g., Patent Document 1).
  • an insolubilization process may be performed on the resist pattern formed at the outer edge portion of the substrate using a developing solution (See e.g., Patent Document 2).
  • black silicon refers to the roughening of the silicon substrate surface due to exposure to plasma.
  • black silicon may be formed at both the substrate surface and rear surface within this region.
  • the region extending over a predetermined width from the outer edge of the substrate may be protected by a resist as illustrated in Patent Documents 1 and 2, for example.
  • the resist may come off during transfer of the substrate to result in the generation of dust, for example.
  • the above problem is not limited to cases of etching one substrate.
  • the adhesive is exposed at the outer edge portion of the bonded substrate.
  • the exposed adhesive may come off upon coming into contact with plasma to cause the generation of dust, or the substrates themselves may be separated from one another.
  • the outer edge portion of the bonded substrate may become brittle or be prone to cracking, for example.
  • the present invention has been conceived in view of the foregoing problems associated with the prior art, and it is an object of the present invention to provide a plasma etching apparatus and a plasma etching method that are capable of protecting the outer edge portion of a substrate having a resist pattern formed thereon upon etching the substrate.
  • a plasma etching apparatus that performs plasma etching on a substrate having a surface portion on which a resist pattern is formed and an outer edge portion where a substrate surface of the substrate is exposed.
  • the plasma etching apparatus includes a support part that supports the substrate; a cover member that covers the outer edge portion of the substrate that is supported by the support part and prevents plasma from coming around the outer edge portion of the substrate; and a control unit that generates plasma by controlling application of a high frequency power from a high frequency power supply and supply of a processing gas for etching from a first processing gas supply source, and uses the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member.
  • control unit After etching the substrate, the control unit generates plasma by controlling application of a high frequency power from a high frequency power supply and supply of a processing gas for ashing from a second processing gas supply source, and uses the generated plasma to perform ashing on the resist pattern on the etched substrate.
  • a plasma etching apparatus that performs plasma etching on a bonded substrate, which includes a plurality of substrates that are bonded together via an adhesive, the bonded substrate having a surface portion on which a resist pattern is formed and an outer edge portion where the adhesive is exposed.
  • the plasma etching apparatus includes a support part that supports the bonded substrate; a cover member that covers the outer edge portion of the bonded substrate that is supported by the support part and prevents plasma from coming around the outer edge portion of the bonded substrate; and a control unit that generates plasma by controlling application of a high frequency power from a high frequency power supply and supply of a processing gas for etching from a first processing gas supply source, and uses the generated plasma to etch the bonded substrate that is supported by the support part and has the outer edge portion covered by the cover member.
  • control unit After etching the bonded substrate, the control unit generates plasma by controlling application of a high frequency power from a high frequency power supply and supply of a processing gas for ashing from a second processing gas supply source, and uses the generated plasma to perform ashing on the resist pattern on the etched bonded substrate.
  • a plasma etching method for performing plasma etching on a substrate having a surface portion on which a resist pattern is formed and an outer edge portion where a substrate surface of the substrate is exposed.
  • the plasma etching method includes the steps of supporting the substrate by a support part; arranging a cover member to cover the outer edge portion of the substrate that is supported by the support part to prevent plasma from coming around the outer edge portion of the substrate; generating plasma by controlling application of a high frequency power from a high frequency power supply and controlling supply of a processing gas for etching from a first processing gas supply source, and using the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member; and after etching the substrate, generating plasma by controlling application of a high frequency power from a high frequency power supply and controlling supply of a processing gas for ashing from a second processing gas supply source, and using the generated plasma to perform ashing on the resist pattern on the etched substrate.
  • a plasma etching method for performing plasma etching on a bonded substrate, which includes a plurality of substrates that are bonded together via an adhesive, the bonded substrate having a surface portion on which a resist pattern is formed and an outer edge portion where the adhesive is exposed.
  • the plasma etching method includes the steps of supporting the bonded substrate by a support part; arranging a cover member to cover the outer edge portion of the bonded substrate that is supported by the support part to prevent plasma from coming around the outer edge portion of the bonded substrate; generating plasma by controlling application of a high frequency power from a high frequency power supply and controlling supply of a processing gas for etching from a first processing gas supply source, and using the generated plasma to etch the bonded substrate that is supported by the support part and has the outer edge portion covered by the cover member; and after etching the bonded substrate, generating plasma by controlling application of a high frequency power from a high frequency power supply and controlling supply of a processing gas for ashing from a second processing gas supply source, and using the generated plasma to perform ashing on the resist pattern on the etched bonded substrate.
  • an outer edge portion of the substrate when etching a substrate having a resist pattern formed thereon, an outer edge portion of the substrate may be protected.
  • FIG. 1 is a schematic cross-sectional view illustrating a configuration of a plasma etching apparatus according to a first embodiment of the present invention
  • FIG. 2 is an enlarged cross-sectional view of a portion surrounding a bevel cover ring
  • FIG. 3 is a schematic cross-sectional view illustrating a first state of an operation for placing a wafer on an electrostatic chuck
  • FIG. 4 is a schematic cross-sectional view illustrating a second state of the operation for placing the wafer on the electrostatic chuck
  • FIG. 5 is a schematic cross-sectional view illustrating a third state of the operation for placing the wafer on the electrostatic chuck
  • FIG. 6 is a schematic cross-sectional view illustrating a fourth state of the operation for placing the wafer on the electrostatic chuck
  • FIG. 7 is an enlarged cross-sectional view illustrating the wafer being supported by the electrostatic chuck while having an outer edge portion covered by a brim part of an upper ring member;
  • FIG. 8 is a cross-sectional view illustrating surface roughening of a substrate surface of the wafer at its outer edge portion that may occur in a case where the outer edge portion is not covered by the upper ring member;
  • FIG. 9 is a cross-sectional view illustrating an inclination of a via hole formed within the wafer
  • FIG. 10 is a graph indicating measurement results of inclination angles with respect to the vertical direction of via holes formed at various distances from the outer edge of the wafer;
  • FIG. 11 is a graph indicating measurement results of resist ashing rates at various points on the wafer at various distances from the outer edge of the wafer in two different examples using different ashing conditions;
  • FIG. 12 is a graph indicating measurement results of resist film thicknesses before and after ashing at various points on the wafer at various distances from the outer edge of the wafer;
  • FIG. 13 is a schematic cross-sectional view illustrating a configuration of a bonded wafer
  • FIG. 14A is a schematic cross-sectional view illustrating a first state of a wafer in a process step of a semiconductor device manufacturing method including a plasma etching method according to a second embodiment of the present invention
  • FIG. 14B is a schematic cross-sectional view illustrating a second state of the wafer in a process step of the semiconductor device manufacturing method including the plasma etching method according to the second embodiment;
  • FIG. 14C is a schematic cross-sectional view illustrating a third state of the wafer in a process step of the semiconductor device manufacturing method including the plasma etching method according to the second embodiment;
  • FIG. 15A is a schematic cross-sectional view illustrating a fourth state of the wafer in a process step of the semiconductor device manufacturing method including the plasma etching method according to the second embodiment;
  • FIG. 15B is a schematic cross-sectional view illustrating a fifth state of the wafer in a process step of the semiconductor device manufacturing method including the plasma etching method according to the second embodiment;
  • FIG. 15C is a schematic cross-sectional view illustrating a sixth state of the wafer in a process step of the semiconductor device manufacturing method including the plasma etching method according to the second embodiment.
  • FIG. 16 is a table indicating measurement results of the angles of via holes with respect to the horizontal direction for via holes formed at various distances from the center of the wafer.
  • FIG. 1 is a schematic cross-sectional view illustrating a configuration of the plasma etching apparatus according to the first embodiment of the present invention.
  • the plasma etching apparatus includes a processing chamber 1 that is configured to be airtight and is electrically grounded.
  • the processing chamber 1 has a cylindrical structure and may be made of aluminum, for example.
  • a stage 2 that holds a semiconductor wafer W (simply referred to as “wafer W” hereinafter) in the horizontal direction is arranged inside the processing chamber 1 .
  • the wafer W is an example of a substrate to be processed.
  • the stage 2 may be made of aluminum, for example, and is configured to act as a lower electrode.
  • the stage 2 is supported by a conductor support 4 and is arranged at a bottom of the processing chamber 1 via an insulating plate 3 .
  • a cylindrical inner wall member 3 a that may be made of quartz, for example, is arranged to surround the stage 2 and the support 4 .
  • a bevel cover ring 5 is arranged on an upper side outer edge portion of the stage 2 .
  • the configuration of the bevel cover ring 5 is described in detail below.
  • the bevel cover ring 5 is an embodiment of a cover member that prevents plasma from coming around the outer edge portion of a substrate.
  • a first RF power supply 10 a is connected to the stage 2 via a first matching unit 11 a
  • a second RF power supply 10 b is connected to the stage 2 via a second matching unit 11 b.
  • the first RF power supply 10 a is for plasma generation.
  • the first RF power supply 10 a is configured to output to the stage 2 a high frequency power of a predetermined frequency (at least 27 MHz; e.g., 100 MHz).
  • the second RF power supply 10 b is for ion attraction.
  • the second RF power supply 10 b is configured to output to the stage 2 a high frequency power of a predetermined frequency (no more than 13.56 MHz; e.g., 13.56 MHz) that is lower than the high frequency power output by the first RF power supply 10 a.
  • a shower head 16 that acts as an upper electrode is arranged above the stage 2 to face the stage 2 in parallel.
  • the shower head 16 and the stage 2 are configured to function as a pair of electrodes (i.e., upper electrode and lower electrode).
  • plasma is generated from a processing gas for etching that is introduced from the shower head 16 , which acts as the upper electrode, using the high frequency power applied to the stage 2 , which acts as the lower electrode.
  • the generated plasma is used to perform an etching process on the wafer W that has its outer edge portion covered by the cover member (bevel cover ring 5 ).
  • plasma is generated from a processing gas for ashing that is introduced into the processing chamber 1 by the high frequency power applied to the lower electrode, and the generated plasma is used to perform an ashing process on the wafer W that has its outer edge portion covered by the cover member.
  • the above processes are controlled by a control unit 90 .
  • An electrostatic chuck 6 that is configured to hold the wafer W by an electrostatic attracting force is provided on a top surface of the stage 2 .
  • the electrostatic chuck 6 includes an electrode 6 a arranged within an insulator 6 b.
  • the electrode 6 a is electrically connected to a DC power supply 12 .
  • a Coulomb force is generated between the electrode 6 a and the wafer W by the application of a DC voltage from the DC power supply 12 so that the wafer W may be electrostatically attracted to the electrostatic chuck 6 by the Coulomb force. In this way, the wafer W is held by the electrostatic chuck 6 .
  • the stage 2 and the electrostatic chuck 6 embody a support part that supports a substrate.
  • a coolant path 4 a is formed within the support 4 , and the coolant path 4 a is connected to a coolant inlet pipeline 4 b and a coolant outlet pipeline 4 c.
  • a suitable coolant such as cooling water
  • the support 4 and the stage 2 may be controlled to a predetermined temperature.
  • a rear side gas supply line 30 for supplying a heat transfer gas (rear side gas) such as a helium (He) gas to a rear surface of the wafer W is arranged to penetrate through the stage 2 , for example.
  • the rear side gas supply line 30 is connected to a rear side gas supply source (not shown).
  • the shower head 16 is arranged at a ceiling portion of the processing chamber 1 .
  • the shower head 16 includes a main body 16 a and an upper ceiling plate 16 b , which acts as an electrode plate.
  • the shower head 16 is mounted to a top part of the processing chamber 1 via an insulating member 17 .
  • the main body 16 a may be made of a conductive material such as aluminum having a surface that is alumite-treated, for example.
  • the upper ceiling plate 16 b is detachably mounted to a lower part of the main body 16 a.
  • the main body 16 a has a gas diffusion chamber 16 c arranged therein. Multiple gas through holes 16 d that communicate with the gas diffusion chamber 16 c are arranged at a bottom part of the main body 16 a. Also, gas introduction holes 16 e that communicate with the gas through holes 16 d are arranged to penetrate through the upper ceiling plate 16 b in its thickness direction. With such an arrangement, a processing gas supplied to the gas diffusion chamber 16 c may be dispersed via the gas through holes 16 d and the gas introduction holes 16 e upon being supplied to the processing chamber 1 . Further, a pipeline (not shown) for circulating a coolant is arranged within the main body 16 a so that the shower head 16 may be cooled to a predetermined temperature while a plasma etching process is performed.
  • the main body 16 a has a gas introduction port 16 f for introducing processing gas for etching into the gas diffusion chamber 16 c.
  • the gas introduction port 16 f is connected to one end of a gas supply pipeline 14 a.
  • the other end of the gas supply pipeline 14 a is connected to a first processing gas supply source 14 for supplying the processing gas for etching.
  • a mass flow controller (MFC) 14 b and an open/close valve V 1 are arranged on the gas supply line 14 a in this order from the upstream side.
  • Processing gas for plasma etching is introduced into the gas diffusion chamber 16 c from the first processing gas supply source 14 via the gas supply pipeline 14 a.
  • the processing gas is then discharged from the gas diffusion chamber 16 c through the gas through holes 16 d and the gas introduction holes 16 e to be dispersed into the processing chamber 1 like a shower.
  • the main body 16 a also has a gas introduction port 16 g for introducing processing gas for ashing into the gas diffusion chamber 16 c.
  • the gas introduction port 16 g is connected to one end of a gas supply pipeline 15 a .
  • the other end of the gas supply pipeline 15 a is connected to a second processing gas supply source 15 that supplies a processing gas for ashing.
  • a mass flow controller (MFC) 15 b and an open/close valve V 2 are arranged on the gas supply line 15 a in this order from the upstream side.
  • Processing gas for plasma ashing is introduced into the gas diffusion chamber 16 c from the second processing gas supply source 15 via the gas supply pipeline 15 a.
  • the processing gas is then discharged from the gas diffusion chamber 16 c through the gas through holes 16 d and the gas introduction holes 16 e to be dispersed into the processing chamber 1 like a shower.
  • the shower head 16 which acts as the upper electrode as described above, is electrically connected to a low pass filter (LPF) 71 via a variable DC power supply 72 .
  • Power supply operations of the variable DC power supply 72 may be turned on/off by an on/off switch 73 .
  • the current voltage of the variable DC power supply 72 and the on/off operations of the on/off switch 73 are controlled by the control unit 90 (described below).
  • the control unit 90 when generating plasma within the processing chamber 1 by applying a high frequency power from the first RF power supply 10 a or the second RF power supply 10 b to the stage 2 , the control unit 90 turns on the on/off switch 73 as is necessary so that a predetermined DC voltage may be applied to the shower head 16 , which acts as the upper electrode.
  • a cylindrically-shaped ground conductor 1 a is arranged to extend above the height of the shower head 16 from the side wall of the processing chamber 1 .
  • the cylindrically-shaped ground conductor 1 a has a ceiling wall arranged at its top portion.
  • An exhaust port 81 is formed at a bottom portion of the processing chamber 1 , and an exhaust device 83 is connected to the exhaust port 81 via an exhaust pipe 82 .
  • the exhaust device 83 includes a vacuum pump, and the pressure within the processing chamber 1 may be reduced to a predetermined degree of vacuum by operating this vacuum pump.
  • a loading/unloading port 84 is arranged at the side wall of the processing chamber 1 , and a gate valve 85 , which is configured to open and close the loading/unloading port 84 , is arranged at the loading/unloading port 84 .
  • deposition shields 86 and 87 which are configured to be detachable, are arranged within the processing chamber 1 .
  • the deposition shield 86 is arranged along the inner wall face of the processing chamber 1 and is configured to prevent etching by-products (deposition) from adhering to the processing chamber 1 .
  • a conductive member (GND block) 89 is connected to the deposition shield 86 at a height position that is substantially the same as that of the wafer W.
  • the conductive member (GND block) 89 is connected such that its potential with respect to ground may be controlled, and in this way, an abnormal discharge may be prevented.
  • FIG. 2 is an enlarged schematic cross-sectional view of a portion surrounding the bevel cover ring 5 .
  • the bevel cover ring 5 includes an upper ring member 51 , a lower ring member 52 , a lift pin 53 , and a drive mechanism 54 .
  • the upper ring member 51 includes a main part 51 a and a brim part 51 b.
  • the main part 51 a has a ring-shaped structure.
  • the brim part 51 b is arranged to protrude inward from the inner circumference of the main part 51 a in the radial direction of the ring-shaped main part 51 a.
  • the brim part 51 b is configured to cover an outer edge portion WE of the wafer W that is held by the electrostatic chuck 6 .
  • the upper ring member 51 includes the brim part 51 b that covers the outer edge portion WE in order to prevent plasma from coming around the outer edge portion WE of the wafer W.
  • the upper ring member 51 may be made of quartz or yttria (Y 2 O 3 ), for example. Yttria may be preferred considering its excellent plasma resistance. Also, as described in detail below with reference to FIG. 16 , in terms of suppressing the inclination angle of a via hole V, yttrium may produce effects that are substantially the same or even more advantageous than that in a case where quartz is used.
  • the lower ring member 52 is arranged into a ring-shaped structure corresponding to the ring-shape of the upper ring member 51 .
  • a ring-shaped groove 52 a is formed at the upper face of the lower ring member 52 .
  • the lower ring member 52 may be made of quartz, for example.
  • holes 51 d are formed at the bottom faces of the protrusions 51 c of the upper ring member 51 .
  • the lift pin 53 is arranged within a hole 6 c formed at the electrostatic chuck 6 at a position corresponding to that of the hole 51 d, which is formed at the upper ring member 51 .
  • the lift pin 53 is configured to be movable in the vertical direction by the drive mechanism 54 that drives the lift pin 53 to move up and down.
  • the drive mechanism 54 that drives the lift pin 53 to move up and down.
  • the electrostatic chuck 6 includes a lift pin 61 and a drive mechanism 62 .
  • the lift pin 61 is arranged within a hole 6 d formed at the electrostatic chuck 6 and is configured to be movable in the vertical direction by the drive mechanism 62 that drives the lift pin 61 to move up and down.
  • the drive mechanism 62 drives the lift pin 61 to move up and down.
  • the control unit 90 includes a process controller 91 , a user interface 92 , and a storage unit 93 .
  • the process controller 91 includes a CPU and is configured to control operations of various components of the plasma etching device.
  • the user interface 92 may include a keyboard that is operated by a process controller to input various commands for controlling the process of the plasma etching apparatus, and a display that indicates the operation status of the plasma etching apparatus in visual form, for example.
  • the storage unit 93 stores recipes that include control programs (software) for enabling the process controller 91 to control various process operations of the plasma etching apparatus and process condition data, for example.
  • the process controller 91 reads a given recipe from the storage unit 93 according to a command from the user interface 92 to execute a desired process. In this way, the plasma etching apparatus may perform the desired process under control by the control processor 91 .
  • the recipes including the control programs and process condition data may be stored in a computer-readable storage medium (e.g., hard disk, CD, flexible disk, semiconductor memory). In other embodiments, the recipes including the control programs and process condition data may be transmitted from another device via a dedicated line, for example.
  • FIGS. 3-6 are schematic cross-sectional views illustrating various states of an operation for placing the wafer W on the electrostatic chuck 6 .
  • the lift pin 53 is raised by the drive mechanism 54 in a state where the wafer W is not held by the electrostatic chuck 6 (see FIG. 3 ).
  • the raised lift pin 53 pushes the upper ring member 51 upward to raise the upper ring member 51 (see FIG. 4 ).
  • the gate valve 85 is opened, and the wafer W having a resist pattern formed thereon is transferred by a transfer robot (not shown), for example, to be delivered onto the electrostatic chuck 6 within the processing chamber 1 from the loading/unloading port 84 via a load lock chamber (not shown). Then, the lift pin 61 is raised by the drive mechanism 62 , and the wafer W is received by the raised lift pin 61 from the transfer robot (see FIG. 5 ).
  • the transfer robot is evacuated out of the processing chamber 1 , and the gate valve 85 is closed. Then, the lift pin 61 is lowered by the drive mechanism 62 , and the wafer W is placed on the electrostatic chuck 6 (see FIG. 6 ). Further, a predetermined DC voltage from the DC power supply 12 is applied to the electrode 6 a of the electrostatic chuck 6 , and as a result the wafer W is electrostatically attracted to the electrostatic chuck 6 by the Coulomb force that is generated between the wafer W and the electrostatic chuck 6 .
  • the upper ring member 51 is lowered to its original position to be accommodated within a ring-shaped groove 52 a.
  • the wafer W and the bevel cover ring 5 may be in their respective positions illustrated in FIG. 2 .
  • the outer edge portion WE of the wafer W may be covered by the brim part 51 b of the upper ring member 51 .
  • the wafer W is electrostatically attracted to the electrostatic chuck 6 before the upper ring member 51 is lowered.
  • the electrostatic attraction of the wafer W to the electrostatic chuck 6 may alternatively be performed after lowering the upper ring member 51 , for example.
  • FIG. 7 is an enlarged cross-sectional view of the wafer W having its outer edge portion WE covered by the brim part 51 b of the upper ring member 51 and being supported by the electrostatic chuck 6 in such a state.
  • the upper ring member 51 covers a region of the outer edge portion WE of the wafer W extending over a predetermined width L from the outer edge of the wafer W.
  • a resist pattern (resist PR) is formed on the surface of the wafer W.
  • the resist PR is removed from a region of the outer edge portion WE of the wafer W extending over a predetermined width L 1 from the outer edge of the wafer W so that the substrate surface of the waver W is exposed at this region.
  • the predetermined width L is preferably greater than the predetermined width L 1 .
  • the predetermined width L 1 is an example of a first predetermined width that satisfies formula (1).
  • DI an inner diameter of the upper ring member 51
  • DO an outer diameter of the wafer W
  • the inner diameter DI of the brim part 51 b of the upper ring member 51 is preferably determined based on the outer diameter DO of the wafer W and the predetermined width L 1 .
  • the etching process involves introducing a predetermined processing gas (etching gas) into the processing chamber 1 from the first processing gas supply source 14 in a state where the processing chamber 1 is depressurized to a predetermined degree of vacuum and maintained at a predetermined pressure.
  • a predetermined processing gas etching gas
  • the so-called halogen gas such as Cl 2 , Cl 2 +HBr, Cl 2 +O 2 , CF 4 +O 2 , SF 6 , Cl 2 +N 2 , Cl 2 +HCl, or HBr+Cl 2 +SF 6 may be used as the predetermined processing gas, for example.
  • a mixed gas including CF gas e.g., CF 4 , C 4 F 8 , CHF 3 , CH 3 F, CH 2 F 2
  • Ar gas or a gas having oxygen added to such mixed gas
  • a high frequency power of 100 MHz is supplied to the stage 2 from the first RF power supply 10 a.
  • a high frequency power (for biasing) of 13.56 MHz is supplied to the stage 2 from the second RF power supply 10 b to prompt ion attraction.
  • an electric field is formed between the shower head 16 corresponding to the upper electrode and the stage 2 corresponding to the lower electrode. Electrical discharge occurs within the processing chamber 1 that accommodates the wafer W, and this electrical discharge prompts the generation of plasma from the processing gas. Anisotropic etching is performed on the wafer W by the generated plasma using the resist pattern formed on the surface of the wafer W as a mask while the outer edge portion WE of the wafer W is covered by the upper ring member 51 .
  • an ashing process is performed to remove the remaining resist from the wafer W.
  • the ashing process is performed using plasma generated from a processing gas for ashing.
  • the ashing process involves introducing a predetermined processing gas (ashing gas) into the processing chamber 1 from the second processing gas supply source 15 in a state where the processing chamber 1 is depressurized to a predetermined degree of vacuum and maintained at a predetermined pressure.
  • a predetermined processing gas ashing gas
  • O 2 gas, NO gas, N 2 O gas, H 2 O gas, or O 3 gas may be used as the predetermined processing gas for ashing.
  • a high frequency power of 100 MHz for example, is supplied to the stage 2 from the first RF power supply 10 a.
  • a high frequency power (for biasing) of 13.56 MHz is supplied to the stage 2 from the second RF power supply 10 b to prompt ion attraction.
  • the application of high frequency power, the application of the DC voltage, and the supply of processing gas are stopped. Then, the wafer W is transferred outside the processing chamber 1 by performing the wafer transfer operations described above in reverse order.
  • surface roughening of the substrate surface of the wafer W at its outer edge portion WE may be prevented when etching the wafer W having a resist pattern formed thereon.
  • such an aspect of the present embodiment is described in connection with a comparison example.
  • the outer edge portion WE of the wafer W is not covered by the upper ring member 51 .
  • the outer edge portion WE of the wafer W is exposed and comes into contact with plasma.
  • the resist PR is removed from the region of the outer edge portion WE of the wafer extending over the predetermined width L 1 from the outer edge of the wafer W so that the substrate surface of the wafer W is exposed at this region.
  • the so-called black silicon is formed at the exposed substrate surface at the outer edge portion WE of the wafer W.
  • the region of the outer edge portion WE of the wafer W extending over the predetermined width L from the outer edge of the wafer W is covered by the upper ring member 51 .
  • plasma may be prevented from coming around the outer edge portion WE of the wafer W while an etching process is performed on the wafer W. That is, the region of the outer edge portion WE of the wafer W extending over the predetermined width L 1 from the outer edge of the wafer W where the substrate surface of the wafer W is exposed may be covered so that it would not be exposed to plasma. In this way, the substrate surface of the wafer W at the outer edge portion WE of the wafer W may be prevented from roughening. In other words, the outer edge portion WE of the wafer W may be protected.
  • an inclination of the via hole V by a certain inclination angle with respect to the vertical direction may be suppressed for via holes V formed near the outer edge portion WE of the wafer W.
  • a via hole V formed at the wafer W near the brim part 51 b of the upper ring member 51 may be prone to inclination. That is, as illustrated in FIG. 9 , a central axis of the via hole V tends to incline at an inclination angle of (90 ⁇ ) with respect to the vertical direction, assuming ⁇ represents an angle of the central axis with respect to the horizontal direction. It is speculated that while the brim part 51 b prevents plasma from coming around the outer edge portion WE of the wafer W, it also causes an inclination of the irradiation direction of plasma.
  • the inclination angle (90 ⁇ ) of a via hole V that is formed at a point that is relatively far away from the edge of the wafer W; namely, a point towards the center of the wafer W, is substantially equal to 0 (zero). That is, the via hole V formed at such a point extends substantially in the vertical direction without inclining.
  • the inclination angle (90 ⁇ ) of the via hole V is greater at a point where the distance from the edge of the wafer W is shorter; namely, a point toward the outer edge portion WE of the wafer W. That is, the inclination angle (90 ⁇ ) of the via hole V increases as the via hole V comes closer to the edge of the brim part 51 b of the upper ring member 51 .
  • the positioning accuracy of the relative position of the wafer W with respect to the upper ring member 51 is denoted as ⁇ a0
  • the positioning accuracy of the wafer W attributed to the transfer system for transferring the wafer W such as the transfer robot and the lift pin 61 is denoted as ⁇ a1
  • the positioning accuracy of the bevel cover ring 5 attributed to the shape accuracy of the lift pin 53 or the bevel cover ring 5 is denoted as ⁇ a2
  • the relationship between a0, a1, and a2 may be expressed by the following formula (4).
  • the absolute value a0 of the positioning accuracy of the relative position of the wafer W with respect to the upper ring member 51 ⁇ a0 is equal to the sum of the absolute value a1 of the positioning accuracy of the wafer W and the absolute value a2 of the positioning accuracy of the bevel cover ring 5 .
  • the predetermined width L is preferably designed to be a suitable value such that the actual width of the covered region of the wafer W would not be less than the predetermined width L 1 even when the actual width varies from the designed value due to the positioning accuracy ( ⁇ a0). If the predetermined width L is smaller than the predetermined width L 1 , the region of the outer edge portion WE of the wafer W that has the resist removed and the substrate surface of the wafer W exposed would come into contact with plasma. Accordingly, when the predetermined width L subject to variations attributed to the positioning accuracy has a range of (L ⁇ a0), the minimum value (L ⁇ a0) of the predetermined width L may be set equal to the predetermined width L 1 , for example. In this way, the outer edge portion WE of the wafer W may be protected from surface roughening while minimizing the inclination angle (90 ⁇ ) with respect to the vertical direction of a via hole V formed near the outer edge portion WE of the wafer W.
  • FIG. 7 illustrates an exemplary case where the predetermined width L 1 is set equal to the minimum value (L ⁇ a0) of the predetermined width L subject to variations attributed to the positioning accuracy ( ⁇ a0).
  • the minimum value (L ⁇ a0) of the predetermined width L subject to variations attributed to positioning accuracy ( ⁇ a0) may be set equal to the sum of the predetermined width L 1 plus a margin ⁇ (L 1 + ⁇ ).
  • the relationship between L and L 1 may be expressed by the following formula (5).
  • the predetermined width L may be set equal to the sum of the predetermined width L 1 and a predetermined width (a0+ ⁇ ) that depends on the positioning accuracy a0 of the relative position of the wafer W with respect to the upper ring member 51 .
  • the relationship between DI and DO preferably satisfies the following formula (6).
  • DI DO ⁇ 2( L 1+ a 0+ ⁇ ) (6)
  • the inner diameter DI of the brim part 51 b of the upper ring member 51 is preferably determined based on the outer diameter DO of the wafer W, the predetermined width L 1 , and the predetermined width (a0+ ⁇ ) that depends on the positioning accuracy a0.
  • the outer edge portion WE of the wafer W may be protected from surface roughening while minimizing the inclination angle (90 ⁇ ) with respect to the vertical direction of a via hole V formed near the outer edge portion WE.
  • the predetermined width (a0+ ⁇ ) is an example of a second predetermined width that depends on the positioning accuracy of the relative position of the substrate with respect to the cover member.
  • the measurement results of the angle ⁇ are substantially the same as the case where the upper ring member 51 is made of quartz. That is, the angle ⁇ is substantially close to 90 degrees in both cases.
  • yttria may preferably be used as the material for the upper ring member 51 so that the life of the upper ring member 51 may be prolonged in addition to providing protection of the outer edge portion WE of the wafer W.
  • the angle ⁇ may be closer to 90 degrees when the inner diameter DI of the upper ring member 51 is greater. That is, the greater the inner diameter DI of the upper ring member 51 , the smaller the inclination angle of the via hole V with respect to the vertical direction.
  • the ashing rate at the outer edge portion WE of the wafer W may be prevented from decreasing.
  • FIG. 11 is a graph indicating measurement results of resist ashing rates measured at various distances from the outer edge of the wafer W in Example 1 and Example 2 that perform ashing processes under different ashing conditions.
  • the ashing conditions used in Example 1 and Example 2 are as follows:
  • the ashing rate tends to decrease as the distance from the outer edge of the wafer W becomes smaller; namely, as the ashing position comes closer to the outer edge of the wafer W.
  • the ratio of the ashing rate at a position 0.3 mm from the wafer edge with respect to the ashing rate at a position 3 mm from the wafer edge is approximately 10%.
  • Example 2 the overall ashing rate is increased compared to Example 1. Also, the ratio of the ashing rate at a position 0.3 mm from the wafer edge with respect to the ashing rate at a position 3 mm from the wafer edge is increased to approximately 50%. As can be appreciated, by optimizing the processing conditions of the ashing process, a decrease in the ashing rate at the outer edge portion WE of the wafer W that is covered by the upper ring member 51 may be suppressed.
  • the plasma etching method according to the second embodiment may be implemented using a plasma etching apparatus similar to the plasma etching apparatus used in the first embodiment. Accordingly, descriptions of the plasma etching apparatus used in the second embodiment are omitted.
  • the plasma etching method according to the present embodiment is for forming a via hole through a wafer using the so-called TSV (Through-Silicon Via) technology to form a via electrode within a three-dimensionally stacked semiconductor device. That is, the plasma etching method according to the present embodiment differs from that of the first embodiment in that it involves etching a bonded wafer that includes a wafer on which a via hole is formed (also referred to as “device wafer”) and a support wafer to which the device wafer is bonded via an adhesive.
  • TSV Three-dimensionally stacked semiconductor device.
  • FIG. 13 is a schematic cross-sectional view illustrating an exemplary configuration of a bonded wafer LW.
  • the bonded wafer LW includes a device wafer W and a support wafer SW.
  • the device wafer W is a substrate having a semiconductor device such as a transistor formed on its surface Wa.
  • the support wafer SW is a support substrate for reinforcing the device wafer W when the device wafer W is thinned by a grinding process that is performed on its rear surface Wb.
  • the device wafer W is bonded to the support wafer SW via an adhesive G.
  • FIGS. 14A-15C are schematic cross-sectional views illustrating states of the wafer W at various process steps of a semiconductor device manufacturing method including the plasma etching method of the present embodiment.
  • a transistor 101 is formed on the surface of the device wafer W, which may be a silicon wafer, for example. Then, an interlayer insulating film 102 is formed on the device W having the transistor 101 formed thereon (see FIG. 14A ).
  • a wiring structure 103 is formed on the interlayer insulating film 102 .
  • the wiring structure 103 is formed on the interlayer insulating film 102 by alternately layering a wiring layer 104 and an insulating film 105 and forming a via hole 106 , which penetrates through the insulating film 105 and establishes electrical connection between the wiring layers 104 arranged above and below the insulating film 105 (see FIG. 14B ).
  • the support wafer SW may be a silicon wafer, for example.
  • the support wafer SW acts as a support substrate that reinforces the device wafer W and prevents the device wafer W from warping when the device wafer W is reduced in thickness by a grinding process that is performed on its rear surface Wb.
  • the bonded wafer LW is placed on a support member of a grinding apparatus, for example, and the rear surface Wb of the device wafer W is subject to a grinding process so that the device wafer W may be thinned from a thickness T 1 before grinding to a predetermined thickness T 2 after grinding (see FIG. 14C ).
  • the predetermined thickness T 2 may be 50-200 ⁇ m, for example.
  • the interlayer insulating film 102 and the wiring structure 103 are not drawn to scale. That is, for purposes of illustration, the thicknesses of the interlayer insulating film 102 and the wiring structure 103 are magnified. However, in actual applications, the interlayer insulating film 102 and the wiring structure 103 are much thinner than the device wafer W itself.
  • the adhesive G is exposed at the outer edge portion WE of the bonded wafer LW (see FIG. 13 ).
  • a resist is applied on the rear surface Wb of the device wafer W after which the resist is exposed and developed into a resist pattern (not shown).
  • the bonded wafer LW with the device wafer W having the resist pattern formed on its rear surface Wb is subject to an etching process that is similar to that implemented in the plasma etching method according to the first embodiment to form a via hole V.
  • an asking process similar to that implemented in the plasma etching method of the first embodiment is performed to remove the resist remaining on the rear surface Wb of the bonded wafer LW having the via hole V formed thereon (see FIG. 15A ).
  • the diameter of the via hole V may be 1-10 ⁇ m, for example.
  • the depth of the via hole V corresponds to the thickness of the thinned device wafer W after its rear surface Wb has undergone the grinding process.
  • the thickness of the thinned device wafer W may be 50-200 ⁇ m, for example.
  • the support wafer SW is separated from the device wafer W, so that the device wafer W that is thinned and has the via electrode 108 formed therein may be obtained.
  • the support wafer SW may be separated from the device wafer W by irradiating ultraviolet (UV) light and weakening the adhesion of the adhesive G (see FIG. 15C ).
  • UV ultraviolet
  • a region of the outer edge portion WE of the bonded wafer LW extending over a predetermined width from the outer edge of the bonded wafer LW is covered by the upper ring member 51 .
  • plasma may be prevented from coming around the outer edge portion WE of the bonded wafer LW.
  • the substrate surface of the device wafer W that is exposed at a region of the outer edge portion WE of the bonded wafer LW extending over a predetermined width from the edge of the device wafer W may be prevented from coming into contact with plasma. In this way, surface roughening of the substrate surface of the device wafer W at the outer edge portion WE of the bonded wafer LW may be prevented.
  • the adhesive G is exposed at the outer edge portion WE of the bonded wafer LW between the device wafer W and the support wafer SW. Accordingly, the adhesive G exposed at the outer edge portion WE of the bonded wafer LW may be prevented from coming into contact with plasma. In this way, the adhesive G may be prevented from coming off to generate dust, and the device wafer W and the support wafer SW may be prevented from separating. Further, the outer edge portion WE of the bonded wafer LW may be prevented from becoming brittle and cracking. In other words, the outer edge portion WE of the bonded wafer LW may be protected.
US14/007,695 2011-03-29 2012-03-28 Plasma etching apparatus and plasma etching method Abandoned US20140017900A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011-073191 2011-03-29
JP2011073191 2011-03-29
PCT/JP2012/058244 WO2012133585A1 (ja) 2011-03-29 2012-03-28 プラズマエッチング装置及びプラズマエッチング方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/058244 A-371-Of-International WO2012133585A1 (ja) 2011-03-29 2012-03-28 プラズマエッチング装置及びプラズマエッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/404,471 Division US10090161B2 (en) 2011-03-29 2017-01-12 Plasma etching apparatus and plasma etching method

Publications (1)

Publication Number Publication Date
US20140017900A1 true US20140017900A1 (en) 2014-01-16

Family

ID=46931292

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/007,695 Abandoned US20140017900A1 (en) 2011-03-29 2012-03-28 Plasma etching apparatus and plasma etching method
US15/404,471 Active US10090161B2 (en) 2011-03-29 2017-01-12 Plasma etching apparatus and plasma etching method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/404,471 Active US10090161B2 (en) 2011-03-29 2017-01-12 Plasma etching apparatus and plasma etching method

Country Status (5)

Country Link
US (2) US20140017900A1 (ja)
JP (1) JP6001529B2 (ja)
KR (1) KR101896491B1 (ja)
TW (1) TWI497588B (ja)
WO (1) WO2012133585A1 (ja)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140126165A1 (en) * 2012-11-06 2014-05-08 Infineon Technologies Austria Ag Packaged Nano-Structured Component and Method of Making a Packaged Nano-Structured Component
CN104143509A (zh) * 2013-05-09 2014-11-12 松下电器产业株式会社 等离子处理装置以及等离子处理方法
US20150179410A1 (en) * 2013-12-24 2015-06-25 Chengdu Tianma Micro-Electronics Co., Ltd. Dry etching device and electrode thereof
GB2527921A (en) * 2014-05-15 2016-01-06 Infineon Technologies Ag Wafer releasing
WO2016043938A1 (en) * 2014-09-19 2016-03-24 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US20160126129A1 (en) * 2014-10-29 2016-05-05 Spts Technologies Limited Clamp assembly
US9583355B2 (en) 2013-05-09 2017-02-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution
US20170323815A1 (en) * 2014-11-26 2017-11-09 Von Ardenne Gmbh Substrate holding device, substrate transport device, processing arrangement and method for processing a substrate
US20190362949A1 (en) * 2018-05-28 2019-11-28 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10504702B2 (en) 2016-12-16 2019-12-10 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
CN110890289A (zh) * 2018-09-10 2020-03-17 东芝存储器株式会社 半导体制造装置及半导体装置的制造方法
CN110967916A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 制造euv光掩模的方法
US20200395195A1 (en) * 2018-08-13 2020-12-17 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US20210035783A1 (en) * 2019-08-02 2021-02-04 Tokyo Electron Limited Edge ring, substrate support, substrate processing apparatus and method
EP3640973A4 (en) * 2018-01-17 2021-03-17 SPP Technologies Co., Ltd. WIDE GAP SEMICONDUCTOR SUBSTRATE, APPARATUS FOR MANUFACTURING A WIDE GAP SEMICONDUCTOR SUBSTRATE AND METHOD FOR MANUFACTURING A WIDE GAP SEMICONDUCTOR SUBSTRATE
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11236423B2 (en) * 2018-12-26 2022-02-01 Tokyo Electron Limited Film-forming apparatus
US11257691B2 (en) * 2018-04-27 2022-02-22 Tokyo Electron Limited Substrate processing apparatus
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US20220189745A1 (en) * 2017-11-21 2022-06-16 Lam Research Corporation Bottom and middle edge rings
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
TWI781988B (zh) * 2017-03-22 2022-11-01 日商東京威力科創股份有限公司 基板處理裝置
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11984301B2 (en) * 2019-08-02 2024-05-14 Tokyo Electron Limited Edge ring, substrate support, substrate processing apparatus and method

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102037542B1 (ko) * 2012-01-17 2019-10-28 도쿄엘렉트론가부시키가이샤 기판 배치대 및 플라즈마 처리 장치
JP2014204062A (ja) * 2013-04-09 2014-10-27 サムコ株式会社 プラズマエッチング方法
JP6083529B2 (ja) * 2013-09-02 2017-02-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP2016040800A (ja) * 2014-08-12 2016-03-24 アズビル株式会社 プラズマエッチング装置
US10475688B2 (en) * 2015-02-18 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device, and semiconductor manufacturing device
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
KR102505152B1 (ko) * 2017-12-15 2023-02-28 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
JP7045931B2 (ja) * 2018-05-30 2022-04-01 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
WO2020121166A1 (en) * 2018-12-13 2020-06-18 Dh Technologies Development Pte. Ltd. Inception electrostatic linear ion trap
US20200234928A1 (en) * 2019-01-17 2020-07-23 Applied Materials, Inc. Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
JP7454976B2 (ja) * 2020-03-24 2024-03-25 東京エレクトロン株式会社 基板支持台、プラズマ処理システム及びエッジリングの交換方法
JP2023536154A (ja) * 2020-07-31 2023-08-23 ラム リサーチ コーポレーション 低傾斜トレンチエッチングのための薄いシャドウリング

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5779803A (en) * 1993-12-24 1998-07-14 Tokyo Electron Limited Plasma processing apparatus
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6511543B1 (en) * 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6676759B1 (en) * 1998-10-30 2004-01-13 Applied Materials, Inc. Wafer support device in semiconductor manufacturing device
US20040139917A1 (en) * 2002-10-17 2004-07-22 Naoshi Yamaguchi Plasma processing apparatus
US6773562B1 (en) * 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
US20050173065A1 (en) * 2002-11-20 2005-08-11 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
US20050269292A1 (en) * 2002-11-26 2005-12-08 Akira Koshiishi Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
JP2006049461A (ja) * 2004-08-03 2006-02-16 Seiko Epson Corp ドライエッチング装置及び半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3267199B2 (ja) * 1996-07-11 2002-03-18 株式会社デンソー 半導体装置の製造方法
JP2000331913A (ja) 1999-05-20 2000-11-30 Sony Corp パターン形成方法及びこれを用いた半導体装置の製造方法
JP3769157B2 (ja) * 1999-11-15 2006-04-19 松下電器産業株式会社 ウェハのドライエッチング装置およびドライエッチング方法
US7964511B2 (en) * 2005-09-09 2011-06-21 Tokyo Electron Limited Plasma ashing method
JP2007220815A (ja) * 2006-02-15 2007-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2009295636A (ja) 2008-06-02 2009-12-17 Nec Electronics Corp 半導体装置の製造方法
JP5264332B2 (ja) * 2008-07-09 2013-08-14 ラピスセミコンダクタ株式会社 接合ウエハ、その製造方法、及び半導体装置の製造方法
JP2010118549A (ja) 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5250445B2 (ja) * 2009-02-16 2013-07-31 Sppテクノロジーズ株式会社 プラズマ処理装置
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
JP5784556B2 (ja) * 2012-07-20 2015-09-24 株式会社東芝 半導体装置およびその製造方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5779803A (en) * 1993-12-24 1998-07-14 Tokyo Electron Limited Plasma processing apparatus
US6511543B1 (en) * 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6773562B1 (en) * 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
US6676759B1 (en) * 1998-10-30 2004-01-13 Applied Materials, Inc. Wafer support device in semiconductor manufacturing device
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US20040139917A1 (en) * 2002-10-17 2004-07-22 Naoshi Yamaguchi Plasma processing apparatus
US20050173065A1 (en) * 2002-11-20 2005-08-11 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
US20050269292A1 (en) * 2002-11-26 2005-12-08 Akira Koshiishi Plasma processing apparatus and method, and electrode plate for plasma processing apparatus
JP2006049461A (ja) * 2004-08-03 2006-02-16 Seiko Epson Corp ドライエッチング装置及び半導体装置の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Derwent Abstract of JP2006-49461 to Ito. Obtained from EAST on 05/14/2016. *
English Machine Translation of JP2006-49461 to Ito. Obtained from https://www.j-platpat.inpit.go.jp/web/all/top/BTmTopEnglishPage on 05/14/2016. *

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140126165A1 (en) * 2012-11-06 2014-05-08 Infineon Technologies Austria Ag Packaged Nano-Structured Component and Method of Making a Packaged Nano-Structured Component
US9249014B2 (en) * 2012-11-06 2016-02-02 Infineon Technologies Austria Ag Packaged nano-structured component and method of making a packaged nano-structured component
US9583355B2 (en) 2013-05-09 2017-02-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN104143509A (zh) * 2013-05-09 2014-11-12 松下电器产业株式会社 等离子处理装置以及等离子处理方法
US20150179410A1 (en) * 2013-12-24 2015-06-25 Chengdu Tianma Micro-Electronics Co., Ltd. Dry etching device and electrode thereof
GB2527921A (en) * 2014-05-15 2016-01-06 Infineon Technologies Ag Wafer releasing
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
GB2527921B (en) * 2014-05-15 2016-10-19 Infineon Technologies Ag Wafer releasing
US10186445B2 (en) 2014-05-15 2019-01-22 Infineon Technologies Ag Wafer releasing
WO2016043938A1 (en) * 2014-09-19 2016-03-24 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
TWI686865B (zh) * 2014-09-19 2020-03-01 美商應用材料股份有限公司 用於電漿切割的接近接觸蓋環
TWI663648B (zh) * 2014-09-19 2019-06-21 美商應用材料股份有限公司 用於電漿切割的接近接觸蓋環
US11195756B2 (en) 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US20160126129A1 (en) * 2014-10-29 2016-05-05 Spts Technologies Limited Clamp assembly
US11056379B2 (en) * 2014-10-29 2021-07-06 Spts Technologies Limited Clamp assembly
US10770324B2 (en) * 2014-11-26 2020-09-08 VON ARDENNE Asset GmbH & Co. KG Substrate holding device, substrate transport device, processing arrangement and method for processing a substrate
US20170323815A1 (en) * 2014-11-26 2017-11-09 Von Ardenne Gmbh Substrate holding device, substrate transport device, processing arrangement and method for processing a substrate
US20170213758A1 (en) * 2016-01-26 2017-07-27 Applied Materials, Inc. Wafer edge ring lifting solution
US11393710B2 (en) * 2016-01-26 2022-07-19 Applied Materials, Inc. Wafer edge ring lifting solution
US10504702B2 (en) 2016-12-16 2019-12-10 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10991556B2 (en) 2017-02-01 2021-04-27 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
TWI781988B (zh) * 2017-03-22 2022-11-01 日商東京威力科創股份有限公司 基板處理裝置
US11887879B2 (en) 2017-09-21 2024-01-30 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US20220189745A1 (en) * 2017-11-21 2022-06-16 Lam Research Corporation Bottom and middle edge rings
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
EP3640973A4 (en) * 2018-01-17 2021-03-17 SPP Technologies Co., Ltd. WIDE GAP SEMICONDUCTOR SUBSTRATE, APPARATUS FOR MANUFACTURING A WIDE GAP SEMICONDUCTOR SUBSTRATE AND METHOD FOR MANUFACTURING A WIDE GAP SEMICONDUCTOR SUBSTRATE
US11257691B2 (en) * 2018-04-27 2022-02-22 Tokyo Electron Limited Substrate processing apparatus
US10790123B2 (en) * 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US20190362949A1 (en) * 2018-05-28 2019-11-28 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11728143B2 (en) 2018-05-28 2023-08-15 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11798789B2 (en) * 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US20200395195A1 (en) * 2018-08-13 2020-12-17 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
CN110890289A (zh) * 2018-09-10 2020-03-17 东芝存储器株式会社 半导体制造装置及半导体装置的制造方法
CN110967916A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 制造euv光掩模的方法
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11236423B2 (en) * 2018-12-26 2022-02-01 Tokyo Electron Limited Film-forming apparatus
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US20210035783A1 (en) * 2019-08-02 2021-02-04 Tokyo Electron Limited Edge ring, substrate support, substrate processing apparatus and method
US11984301B2 (en) * 2019-08-02 2024-05-14 Tokyo Electron Limited Edge ring, substrate support, substrate processing apparatus and method

Also Published As

Publication number Publication date
US10090161B2 (en) 2018-10-02
TWI497588B (zh) 2015-08-21
WO2012133585A1 (ja) 2012-10-04
KR20140016907A (ko) 2014-02-10
JPWO2012133585A1 (ja) 2014-07-28
US20170133234A1 (en) 2017-05-11
TW201304000A (zh) 2013-01-16
KR101896491B1 (ko) 2018-09-07
JP6001529B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
US10090161B2 (en) Plasma etching apparatus and plasma etching method
US8975188B2 (en) Plasma etching method
KR102037542B1 (ko) 기판 배치대 및 플라즈마 처리 장치
US8664117B2 (en) Method for manufacturing semiconductor device using anisotropic etching
US9048191B2 (en) Plasma etching method
US8735299B2 (en) Semiconductor device manufacturing method and computer-readable storage medium
US20140134847A1 (en) Plasma etching method and plasma etching apparatus
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20100224587A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP2021010016A (ja) 縁部クリティカルディメンジョンの均一性制御用のプロセスキット
JP2007258426A (ja) プラズマエッチング方法
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2016530706A (ja) Icpプラズマ処理チャンバ内における高収率・基板最端部欠陥低減のための単一リング設計
US20150056816A1 (en) Semiconductor device manufacturing method and computer-readable storage medium
WO2013051282A1 (ja) 半導体装置の製造方法
JP2012104579A (ja) プラズマ処理装置
US10714355B2 (en) Plasma etching method and plasma etching apparatus
JP2017220642A (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
KR20120100834A (ko) 반도체 장치의 제조 방법 및 컴퓨터 기록 매체
US20240087858A1 (en) Cleaning method and plasma processing method
US20070218691A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20070218698A1 (en) Plasma etching method, plasma etching apparatus, and computer-readable storage medium

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DOBA, SHIGEKI;YAMADA, SATOSHI;REEL/FRAME:031287/0793

Effective date: 20130917

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION