US20110021011A1 - Carbon materials for carbon implantation - Google Patents

Carbon materials for carbon implantation Download PDF

Info

Publication number
US20110021011A1
US20110021011A1 US12/842,006 US84200610A US2011021011A1 US 20110021011 A1 US20110021011 A1 US 20110021011A1 US 84200610 A US84200610 A US 84200610A US 2011021011 A1 US2011021011 A1 US 2011021011A1
Authority
US
United States
Prior art keywords
carbon
ions
dopant material
containing dopant
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/842,006
Other languages
English (en)
Inventor
Joseph D. Sweeney
Oleg Byl
Robert Kaim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US12/842,006 priority Critical patent/US20110021011A1/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BYL, OLEG, SWEENEY, JOSEPH D., KAIM, ROBERT
Publication of US20110021011A1 publication Critical patent/US20110021011A1/en
Priority to US13/682,416 priority patent/US20130078790A1/en
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Assigned to ENTEGRIS, INC. reassignment ENTEGRIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC.
Priority to US15/354,076 priority patent/US10497569B2/en
Assigned to ATMI, INC., ADVANCED TECHNOLOGY MATERIALS, INC., POCO GRAPHITE, INC., ATMI PACKAGING, INC., ENTEGRIS, INC. reassignment ATMI, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Assigned to ATMI PACKAGING, INC., ATMI, INC., POCO GRAPHITE, INC., ADVANCED TECHNOLOGY MATERIALS, INC., ENTEGRIS, INC. reassignment ATMI PACKAGING, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Priority to US16/659,004 priority patent/US20200051819A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation

Definitions

  • the present disclosure relates to ion implantation methods and systems, and more particularly, to carbon materials for carbon ion implantation in such systems.
  • Ion implantation is used in integrated circuit fabrication to accurately introduce controlled amounts of dopant impurities into semiconductor wafers and is one of the processes of microelectronic/semiconductor manufacturing.
  • an ion source ionizes a desired dopant element gas, and the ions are extracted from the source in the form of an ion beam of desired energy. Extraction is achieved by applying a high voltage across suitably-shaped extraction electrodes, which incorporate apertures for passage of the extracted beam.
  • the ion beam is then directed at the surface of a workpiece, such as a semiconductor wafer, in order to implant the workpiece with the dopant element.
  • the ions of the beam penetrate the surface of the workpiece to form a region of desired conductivity.
  • ion sources are used in ion implantation systems, including the Freeman and Bernas types that employ thermoelectrodes and are powered by an electric arc, microwave types using a magnetron, indirectly heated cathode (IHC) sources, and RF plasma sources, all of which typically operate in a vacuum.
  • the ion source generates ions by introducing electrons into a vacuum arc chamber (hereinafter “chamber”) filled with the dopant gas (commonly referred to as the “feedstock gas”). Collisions of the electrons with atoms and molecules in the dopant gas result in the creation of ionized plasma consisting of positive and negative dopant ions.
  • An extraction electrode with a negative or positive bias will respectively allow the positive or negative ions to pass through an aperture as a collimated ion beam, which is accelerated towards the target material.
  • carbon which is known to inhibit diffusion, is implanted into the target material to produce a desired effect in the integrated circuit device.
  • the carbon is generally implanted from a feedstock gas such as carbon monoxide or carbon dioxide.
  • a feedstock gas such as carbon monoxide or carbon dioxide.
  • the use of carbon monoxide or carbon dioxide gases can result in oxidation of the metal surfaces within the plasma source (arc chamber) of the ion implanter tool, and can also result in carbon residues depositing on electrical insulators. These phenomena reduce the performance of the implanter tool, thereby resulting in the need to perform frequent maintenance. Oxidation can result in inefficiencies in the implantation process.
  • Frequency and duration of preventive maintenance is one performance factor of an ion implantation tool. As a general tendency the tool PM frequency and duration should be decreased.
  • the parts of the ion implanter tool that require the most maintenance include the ion source, which is generally serviced after approximately 50 to 300 hours of operation, depending on operating conditions; the extraction electrodes and high voltage insulators, which are usually cleaned after a few hundred hours of operation; and the pumps and vacuum lines of vacuum systems associated with the tool. Additionally, the filament of the ion source is often replaced on a regular basis.
  • feedstock molecules dosed into an arc chamber would be ionized and fragmented without substantial interaction with the arc chamber itself or any other components of the ion implanter.
  • feedstock gas ionization and fragmentation can results in such undesirable effects as arc chamber components etching or sputtering, deposition on arc chamber surfaces, redistribution of arc chamber wall material, etc.
  • the use of carbon monoxide or carbon dioxide gases can result in carbon deposition within the chamber. This can be a contributor to ion beam instability, and may eventually cause premature failure of the ion source.
  • the residue also forms on the high voltage components of the ion implanter tool, such as the source insulator or the surfaces of the extraction electrodes, causing energetic high voltage sparking.
  • Such sparks are another contributor to beam instability, and the energy released by these sparks can damage sensitive electronic components, leading to increased equipment failures and poor mean time between failures (MTBF).
  • various materials can accumulate on components during extended ion implantation processes. Once enough tungsten is accumulated, the power used to maintain temperature sufficient to meet the beam current setpoint may not be sustainable. This causes loss of ion beam current, which leads to conditions that warrant the replacement of the ion source. The resultant performance degradation and short lifespan of the ion source reduces productivity of the ion implanter tool.
  • Yet another cause of ion source failure is the erosion (or sputtering) of material.
  • metallic materials such as tungsten (e.g., the cathode of an IHC source or the filament of a Bernas source) are sputtered by ions in the plasma of the arc chamber. Because sputtering is dominated by the heaviest ions in the plasma, the sputtering effect may worsen as ion mass increases. In fact, continued sputtering of material “thins” the cathode eventually leading to formation of a hole in the cathode (“cathode punch-through” in the case of IHC), or for the case of the Bernas source, creates an opening in the filament. Performance and lifetime of the ion source are greatly reduced as a result. The art thus continues to seek methods that can maintain a balance between the accumulation and erosion of material on the cathode to prolong the ion source life.
  • the present disclosure relates to a method of implanting carbon ions into a target substrate.
  • This method comprises: ionizing a carbon-containing dopant material to produce a plasma having ions; and implanting the ions into the target substrate.
  • the present disclosure relates to another method of implanting carbon ions into a target substrate.
  • This method comprises: ionizing a carbon-containing dopant material to produce a plasma having ions; co-flowing an additional gas or series of gases with the carbon-containing dopant material; and implanting the ions into the target substrate.
  • the carbon-containing dopant material is of the formula C w F x O y H z , wherein w, x, y and z are as defined above.
  • the present disclosure relates to a method of improving the efficiency of an ion implanter tool.
  • This method comprises: selecting a carbon-containing dopant material of the formula C w F x O y H z for use in the ion implanter tool in a chamber, wherein w, x, y and z are as defined above; ionizing the carbon-containing dopant material; and implanting a carbon ion from the ionized carbon-containing dopant material using the ion implanter tool.
  • the selecting of the material of the formula C w F x O y H z minimizes the amount of carbon and/or non-carbon elements deposited in the chamber after the implanting of the carbon ion. In doing so, the performance of the ion source is optimized.
  • carbon ions are implanted from a feedstock source material into the target material of a substrate via an ion implantation process.
  • an ion source generates the carbon ions by introducing electrons into a vacuum arc chamber filled with a carbon-containing dopant gas as the feedstock material.
  • the chamber has tungsten walls on which a filament electrode and a repeller electrode are mounted and separated from the walls by ceramic insulators. Collisions of the electrons with molecules in the carbon-containing dopant gas result in the creation of ionized plasma consisting of positive carbon ions. The ions are then collimated into an ion beam, which is accelerated towards the target material.
  • the beam may be directed through a mask having a plurality of openings therein to implant the carbon ions in the desired configuration.
  • the present disclosure is not limited in this regard as other means of implanting carbon ions are within the scope of the present disclosure.
  • the present disclosure is not limited to the implantation of carbon ions, as any ion other than carbon (or in addition to carbon) can be selected for implantation.
  • the carbon atom is separated from the remainder of the molecule, thereby resulting in an ionized plasma that includes positive carbon ions.
  • the positive carbon ions may be singular, or they may form clusters of two or more carbon atoms.
  • molecular ions of the form C a F b O c H d + may be formed in order to co-implant multiple atomic species simultaneously.
  • implanting an ion such as CF + may eliminate a later F + implant.
  • the carbon dopant material can be used to produce non-carbon ions for implantation.
  • An example would be the implantation of F + .
  • the benefit is that a second dopant material containing fluorine may not be required.
  • the ratios of C, F, O, and H are chosen to optimize ion source life and beam current. While the use of carbon achieves specific integrated circuit device characteristics, the carbon will deposit within the ion source chamber of the ion implanter, causing electrical shorts or particle generation. Additionally, the carbon can cause sputtering of the cathode (IHC source) or filament (Bernas source), resulting in shortened ion source life.
  • IHC source cathode
  • filament Billernas source
  • the presence of oxygen within the dopant material helps to minimize the deposition of carbon by oxidizing carbon deposits to form CO or CO2. However, the oxygen can also oxidize components of the ion source, such as the cathode or the filament.
  • the C w F x O y H z source gas comprises COF 2 .
  • COF 2 is used as the source gas
  • the molecule is ionized in the arc chamber, and C + ions are separated via mass analysis and then implanted into the target material.
  • O and F ions and neutrals are also present.
  • the oxygen helps to minimize carbon deposits, while the fluorine serves to keep the cathode or filament from forming an oxide surface layer. In this manner, the performance of the ion source is greatly improved.
  • the present disclosure also contemplates the simultaneous flowing of C w F x O y H z material(s) with oxygen or an oxygen-containing gas such as air to modify or control the ratios of C, F, O, and H, thereby further modifying or controlling the amount of carbon ions implanted and optimizing the trade-off between carbon ions implanted and oxide formation.
  • the C w F x O y H z can be co-flowed with COF 2 , CO 2 , CO, or any other oxygen-containing gas.
  • COF 2 co-flowing of COF 2 or similar gases balances the deposition of the carbon ion with the coating of the arc chamber and etching.
  • the present disclosure additionally contemplates the simultaneous flowing of C w F x O y H z material(s) with gases such as fluorine and hydrogen or dilution with inert gases such as nitrogen, argon, xenon, helium, combinations of the foregoing, and the like.
  • gases such as fluorine and hydrogen or dilution with inert gases such as nitrogen, argon, xenon, helium, combinations of the foregoing, and the like.
  • inert gases helps to sustain a plasma when flowing dopant gases.
  • the amount of carbon implanted is maximized and the amounts of non-carbon elements are minimized with regard to the deposition thereof within the chamber. In such manner, the efficiency of the implanter tool can be improved. Additionally, the downtime of such a tool (for maintenance, cleaning, and the like) can also be reduced.
  • the C w F x O y H z material could be flowed simultaneously with up to four additional gases.
  • gases include, but are not limited to, (1) CO+F 2 +H 2 +O 2 ; (2) CO+COF 2 +H 2 ; and (3) CF 4 +CH 4 +O 2 .
  • the present disclosure is not limited in this regard as other gases are within the scope of the present invention.
US12/842,006 2009-07-23 2010-07-22 Carbon materials for carbon implantation Abandoned US20110021011A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/842,006 US20110021011A1 (en) 2009-07-23 2010-07-22 Carbon materials for carbon implantation
US13/682,416 US20130078790A1 (en) 2009-07-23 2012-11-20 Carbon materials for carbon implantation
US15/354,076 US10497569B2 (en) 2009-07-23 2016-11-17 Carbon materials for carbon implantation
US16/659,004 US20200051819A1 (en) 2009-07-23 2019-10-21 Carbon materials for carbon implantation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22787509P 2009-07-23 2009-07-23
US12/842,006 US20110021011A1 (en) 2009-07-23 2010-07-22 Carbon materials for carbon implantation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/682,416 Continuation US20130078790A1 (en) 2009-07-23 2012-11-20 Carbon materials for carbon implantation

Publications (1)

Publication Number Publication Date
US20110021011A1 true US20110021011A1 (en) 2011-01-27

Family

ID=43497679

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/842,006 Abandoned US20110021011A1 (en) 2009-07-23 2010-07-22 Carbon materials for carbon implantation
US13/682,416 Abandoned US20130078790A1 (en) 2009-07-23 2012-11-20 Carbon materials for carbon implantation
US15/354,076 Active US10497569B2 (en) 2009-07-23 2016-11-17 Carbon materials for carbon implantation
US16/659,004 Abandoned US20200051819A1 (en) 2009-07-23 2019-10-21 Carbon materials for carbon implantation

Family Applications After (3)

Application Number Title Priority Date Filing Date
US13/682,416 Abandoned US20130078790A1 (en) 2009-07-23 2012-11-20 Carbon materials for carbon implantation
US15/354,076 Active US10497569B2 (en) 2009-07-23 2016-11-17 Carbon materials for carbon implantation
US16/659,004 Abandoned US20200051819A1 (en) 2009-07-23 2019-10-21 Carbon materials for carbon implantation

Country Status (2)

Country Link
US (4) US20110021011A1 (zh)
TW (2) TWI636483B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130019797A1 (en) * 2011-07-14 2013-01-24 Sen Corporation Impurity-doped layer formation apparatus and electrostatic chuck protection method
WO2013040369A1 (en) * 2011-09-16 2013-03-21 Varian Semiconductor Equipment Associates, Inc. Technique for ion implanting a target
WO2013122986A1 (en) * 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
EP2677057A1 (en) 2012-06-20 2013-12-25 Praxair Technology, Inc. Methods for extending ion source life and improving ion source performance during carbon implantation
EP2677058A1 (en) 2012-06-20 2013-12-25 Praxair Technology, Inc. Gas compositions.
US8916067B2 (en) 2011-10-19 2014-12-23 The Aerospace Corporation Carbonaceous nano-scaled materials having highly functionalized surface
KR20150096767A (ko) * 2012-12-21 2015-08-25 프랙스에어 테크놀로지, 인코포레이티드 탄소 이온 주입을 위한 도판트 조성물의 저장 및 대기압 이하의 전달
US10090133B2 (en) 2014-03-03 2018-10-02 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
US10497569B2 (en) 2009-07-23 2019-12-03 Entegris, Inc. Carbon materials for carbon implantation
US11450504B2 (en) * 2018-11-01 2022-09-20 Applied Materials, Inc. GeH4/Ar plasma chemistry for ion implant productivity enhancement
US11756772B2 (en) 2019-06-06 2023-09-12 Axcelis Technologies, Inc. System and method for extending a lifetime of an ion source for molecular carbon implants

Citations (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602778A (en) * 1967-09-25 1971-08-31 Hitachi Ltd Zener diode and method of making the same
US3615203A (en) * 1968-03-08 1971-10-26 Sony Corp Method for the preparation of groups iii{14 v single crystal semiconductors
US3625749A (en) * 1966-04-06 1971-12-07 Matsushita Electronics Corp Method for deposition of silicon dioxide films
US3658586A (en) * 1969-04-11 1972-04-25 Rca Corp Epitaxial silicon on hydrogen magnesium aluminate spinel single crystals
US3725749A (en) * 1971-06-30 1973-04-03 Monsanto Co GaAS{11 {11 {11 P{11 {11 ELECTROLUMINESCENT DEVICE DOPED WITH ISOELECTRONIC IMPURITIES
US4100310A (en) * 1975-01-20 1978-07-11 Hitachi, Ltd. Method of doping inpurities
US4128733A (en) * 1977-12-27 1978-12-05 Hughes Aircraft Company Multijunction gallium aluminum arsenide-gallium arsenide-germanium solar cell and process for fabricating same
US4600801A (en) * 1984-11-02 1986-07-15 Sovonics Solar Systems Fluorinated, p-doped microcrystalline silicon semiconductor alloy material
US5077143A (en) * 1987-05-14 1991-12-31 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingtom Of Great Britain And Northern Ireland Silicon electroluminescent device
US5436180A (en) * 1994-02-28 1995-07-25 Motorola, Inc. Method for reducing base resistance in epitaxial-based bipolar transistor
US5441901A (en) * 1993-10-05 1995-08-15 Motorola, Inc. Method for forming a carbon doped silicon semiconductor device having a narrowed bandgap characteristic
US6135128A (en) * 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US20020014407A1 (en) * 2000-07-10 2002-02-07 Allen Lisa P. System and method for improving thin films by gas cluster ion beam processing
US6346452B1 (en) * 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
US20020018897A1 (en) * 2000-03-08 2002-02-14 Christian Kuckertz Plasma-treated materials
US20020155724A1 (en) * 2001-04-19 2002-10-24 Kabushiki Kaisha Toshiba Dry etching method and apparatus
US20030023118A1 (en) * 2001-05-23 2003-01-30 Toshihiko Kanayama Carborane supercluster and method of producing same
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US20040166612A1 (en) * 2002-06-05 2004-08-26 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US20040235280A1 (en) * 2003-05-20 2004-11-25 Keys Patrick H. Method of forming a shallow junction
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US20050191816A1 (en) * 2004-02-26 2005-09-01 Vanderpool Aaron O. Implanting carbon to form P-type source drain extensions
US20060097193A1 (en) * 2002-06-26 2006-05-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US20070148888A1 (en) * 2005-12-09 2007-06-28 Krull Wade A System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
WO2007127865A2 (en) * 2006-04-26 2007-11-08 Advanced Technology Materials, Inc. Cleaning of semiconductor processing systems
US7446326B2 (en) * 2005-08-31 2008-11-04 Varian Semiconductor Equipment Associates, Inc. Technique for improving ion implanter productivity
US20080299749A1 (en) * 2006-12-06 2008-12-04 Jacobson Dale C Cluster ion implantation for defect engineering
US20080305598A1 (en) * 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
US7553758B2 (en) * 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7572482B2 (en) * 2006-04-14 2009-08-11 Bae Systems Information And Electronic Systems Integration Inc. Photo-patterned carbon electronics
US20100224264A1 (en) * 2005-06-22 2010-09-09 Advanced Technology Materials, Inc. Apparatus and process for integrated gas blending
US20110079241A1 (en) * 2009-10-01 2011-04-07 Ashwini Sinha Method for ion source component cleaning
US7943204B2 (en) * 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US7947582B2 (en) * 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US20110143527A1 (en) * 2009-12-14 2011-06-16 Varian Semiconductor Equipment Associates, Inc. Techniques for generating uniform ion beam
US8013312B2 (en) * 2006-11-22 2011-09-06 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US20120108044A1 (en) * 2009-10-27 2012-05-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US20120119113A1 (en) * 2010-11-17 2012-05-17 Axcelis Technologies, Inc. Implementation of CO-Gases for Germanium and Boron Ion Implants
US8187971B2 (en) * 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US8252651B2 (en) * 2010-03-18 2012-08-28 Renesas Electronics Corporation Method of manufacturing semiconductor device

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS588071A (ja) 1981-07-08 1983-01-18 Nippon Iyakuhin Kogyo Kk 2−ベンゾチアゾリノン−3−酢酸アミド誘導体またはその薬学的に活性な塩類の製造法
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4459427A (en) 1981-10-31 1984-07-10 The British Petroleum Company P.L.C. Process for the conversion of an alkane to a mixture of an alcohol and a ketone
US4619729A (en) 1984-02-14 1986-10-28 Energy Conversion Devices, Inc. Microwave method of making semiconductor members
JPS60221566A (ja) 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
US4722978A (en) 1985-08-30 1988-02-02 The B. F. Goodrich Company Allyl terminated macromolecular monomers of polyethers
US4680358A (en) 1985-11-08 1987-07-14 The B F Goodrich Company Styryl terminated macromolecular monomers of polyethers
JPS6315228A (ja) 1986-07-08 1988-01-22 Asahi Glass Co Ltd エレクトロクロミツク素子
JPH0772167B2 (ja) 1986-09-04 1995-08-02 サントリー株式会社 4―アミノ―3―ヒドロキシ酪酸誘導体の製法
US4851255A (en) 1986-12-29 1989-07-25 Air Products And Chemicals, Inc. Ion implant using tetrafluoroborate
JPS6483147A (en) 1987-09-25 1989-03-28 Olympus Optical Co Manufacture of chemical sensitivity field effect transistor
JPH01225117A (ja) 1988-03-04 1989-09-08 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法及びその製造装置
JP2699549B2 (ja) 1988-06-03 1998-01-19 日産化学工業株式会社 4―ベンゾイル―5―ヒドロキシピラゾール類の製法
EP0405855A3 (en) * 1989-06-30 1991-10-16 Hitachi, Ltd. Ion implanting apparatus and process for fabricating semiconductor integrated circuit device by using the same apparatus
JPH04112441A (ja) 1990-08-31 1992-04-14 Toshiba Corp イオン注入装置及びそのクリーニング方法
JPH05254808A (ja) 1992-03-10 1993-10-05 Semiconductor Energy Lab Co Ltd 窒化ほう素の作製方法
JPH0680681A (ja) 1992-07-15 1994-03-22 Nippon Kayaku Co Ltd ホスホニウム化合物及びそれを用いた電子写真用トナー
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
DE69417521T2 (de) 1993-06-23 1999-09-16 Toray Industries Elektrode einer zelle, sekundärzelle mit dieser elektrode und verfahren zur herstellung dieser elektrode
JPH0790201A (ja) 1993-09-22 1995-04-04 Hokko Chem Ind Co Ltd 水中防汚塗料
JP2889098B2 (ja) 1993-10-13 1999-05-10 株式会社本山製作所 特定ガスの供給制御装置
US5497006A (en) 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
US5977552A (en) 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
US5993766A (en) 1996-05-20 1999-11-30 Advanced Technology Materials, Inc. Gas source and dispensing system
JP3077591B2 (ja) 1996-06-20 2000-08-14 日本電気株式会社 Cvd装置及びcvd成膜方法
GB2317265A (en) 1996-09-13 1998-03-18 Aea Technology Plc Radio frequency plasma generator
JPH10251592A (ja) 1997-03-07 1998-09-22 Kansai Paint Co Ltd 塗料組成物およびその塗装法
US6080297A (en) 1996-12-06 2000-06-27 Electron Transfer Technologies, Inc. Method and apparatus for constant composition delivery of hydride gases for semiconductor processing
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5948322A (en) 1997-04-10 1999-09-07 Advanced Technology Materials, Inc. Source reagents for MOCVD formation of non-linear optically active metal borate films and optically active metal borate films formed therefrom
US5943594A (en) 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
US6001172A (en) 1997-08-05 1999-12-14 Advanced Technology Materials, Inc. Apparatus and method for the in-situ generation of dopants
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
AU1051899A (en) 1997-11-12 1999-05-31 Nikon Corporation Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
US6096467A (en) 1997-11-19 2000-08-01 Mita Industrial Co., Ltd. Positive charging color toner
US6614082B1 (en) 1999-01-29 2003-09-02 Micron Technology, Inc. Fabrication of semiconductor devices with transition metal boride films as diffusion barriers
US6376664B1 (en) 1999-03-17 2002-04-23 The Ohio State University Cyclic bis-benzimidazole ligands and metal complexes thereof
US6464891B1 (en) * 1999-03-17 2002-10-15 Veeco Instruments, Inc. Method for repetitive ion beam processing with a carbon containing ion beam
US6221169B1 (en) 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP4820038B2 (ja) 1999-12-13 2011-11-24 セメクイップ, インコーポレイテッド イオン注入イオン源、システム、および方法
US6772781B2 (en) 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6420304B1 (en) 2000-04-20 2002-07-16 China Petrochemical Development Corporation Polymer-supported carbonylation catalyst and its use
WO2002000735A1 (en) 2000-06-19 2002-01-03 Kimberly-Clark Worldwide, Inc. Novel photoinitiators and applications therefor
KR20000072651A (ko) 2000-08-08 2000-12-05 이관호 식물 신품종 쌈추 및 그 육종방법
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020058385A1 (en) 2000-10-26 2002-05-16 Taiji Noda Semiconductor device and method for manufacturing the same
WO2002050883A1 (fr) 2000-12-18 2002-06-27 Sumitomo Precision Products Co., Ltd Procede de nettoyage et procede d'attaque
KR100412129B1 (ko) 2001-04-30 2003-12-31 주식회사 하이닉스반도체 반도체소자의 접합 형성방법
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
AU2002364611A1 (en) 2001-12-31 2003-07-24 The Ohio State University Research Foundation Strapped and modified bis (benzimidazole) diamides for asymmetric catalysts and other applications
GB2417365B (en) 2002-03-28 2006-05-17 Applied Materials Inc Monatomic boron ion source and method
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
US7138768B2 (en) 2002-05-23 2006-11-21 Varian Semiconductor Equipment Associates, Inc. Indirectly heated cathode ion source
US20040002202A1 (en) 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
KR100464935B1 (ko) 2002-09-17 2005-01-05 주식회사 하이닉스반도체 불화붕소화합물 도핑에 의한 초박형 에피채널을 갖는반도체소자의 제조 방법
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US20040110351A1 (en) 2002-12-05 2004-06-10 International Business Machines Corporation Method and structure for reduction of junction capacitance in a semiconductor device and formation of a uniformly lowered threshold voltage device
AU2003299614A1 (en) 2002-12-12 2004-06-30 Epion Corporation Re-crystallization of semiconductor surface film and doping of semiconductor by energetic cluster irradiation
US6780896B2 (en) 2002-12-20 2004-08-24 Kimberly-Clark Worldwide, Inc. Stabilized photoinitiators and applications thereof
CN100437912C (zh) 2003-08-25 2008-11-26 松下电器产业株式会社 杂质导入层的形成方法和器件的制造方法
JP2005093518A (ja) 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 不純物導入の制御方法および不純物導入装置
WO2005037421A2 (en) 2003-10-14 2005-04-28 Advanced Technology Materials, Inc. Hydrogen generation
WO2005060602A2 (en) * 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
GB2412488B (en) 2004-03-26 2007-03-28 Applied Materials Inc Ion sources
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
KR100599358B1 (ko) * 2004-06-30 2006-07-12 한국과학기술연구원 소수성을 향상시키기 위한 금속 표면 처리 방법 및 장치
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060115590A1 (en) 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US20060115591A1 (en) 2004-11-29 2006-06-01 Olander W K Pentaborane(9) storage and delivery
JP2008522429A (ja) 2004-12-03 2008-06-26 エピオン コーポレーション ガスクラスタイオン照射による極浅接合部の形成
US7438079B2 (en) 2005-02-04 2008-10-21 Air Products And Chemicals, Inc. In-line gas purity monitoring and control system
US9523688B2 (en) 2005-03-07 2016-12-20 Laurence Faure Diagnosis method and treatment for cancer using liv21 proteins and E2F1/E2F4 biomarkers
US20100112795A1 (en) 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
US20070178678A1 (en) 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070178679A1 (en) 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR101389910B1 (ko) 2006-01-30 2014-05-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 나노기공 탄소 재료 및 나노기공 탄소 재료를 이용하는 시스템과 이용 방법
CN101432841B (zh) 2006-04-26 2013-06-26 艾克塞利斯科技公司 用于捕获离子束粒子和聚焦离子束的方法和系统
WO2007134183A2 (en) 2006-05-13 2007-11-22 Advanced Technology Materials, Inc. Chemical reagent delivery system utilizing ionic liquid storage medium
WO2007146888A2 (en) 2006-06-12 2007-12-21 Semequip, Inc. Vapor delivery to devices under vacuum
KR20080033561A (ko) 2006-10-12 2008-04-17 삼성전자주식회사 기판 도핑 방법
US7642150B2 (en) 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7586109B2 (en) * 2007-01-25 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Technique for improving the performance and extending the lifetime of an ion source with gas dilution
KR20090127366A (ko) 2007-03-30 2009-12-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 디바이스용 극저 접합 형성 방법
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
CN101981661A (zh) * 2008-02-11 2011-02-23 高级技术材料公司 在半导体处理系统中离子源的清洗
KR20100029539A (ko) 2008-09-08 2010-03-17 연세대학교 산학협력단 플라즈마를 이용한 탄소 도핑 방법
TWM352859U (en) 2008-10-13 2009-03-11 Chen Fu Chou Electrostatic and electromagnetic wave elimination device
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
JP5826524B2 (ja) 2010-07-16 2015-12-02 住友重機械工業株式会社 プラズマドーピング装置及びプラズマドーピング方法
KR20200098716A (ko) * 2012-02-14 2020-08-20 엔테그리스, 아이엔씨. 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류

Patent Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3625749A (en) * 1966-04-06 1971-12-07 Matsushita Electronics Corp Method for deposition of silicon dioxide films
US3602778A (en) * 1967-09-25 1971-08-31 Hitachi Ltd Zener diode and method of making the same
US3615203A (en) * 1968-03-08 1971-10-26 Sony Corp Method for the preparation of groups iii{14 v single crystal semiconductors
US3658586A (en) * 1969-04-11 1972-04-25 Rca Corp Epitaxial silicon on hydrogen magnesium aluminate spinel single crystals
US3725749A (en) * 1971-06-30 1973-04-03 Monsanto Co GaAS{11 {11 {11 P{11 {11 ELECTROLUMINESCENT DEVICE DOPED WITH ISOELECTRONIC IMPURITIES
US4100310A (en) * 1975-01-20 1978-07-11 Hitachi, Ltd. Method of doping inpurities
US4128733A (en) * 1977-12-27 1978-12-05 Hughes Aircraft Company Multijunction gallium aluminum arsenide-gallium arsenide-germanium solar cell and process for fabricating same
US4600801A (en) * 1984-11-02 1986-07-15 Sovonics Solar Systems Fluorinated, p-doped microcrystalline silicon semiconductor alloy material
US5077143A (en) * 1987-05-14 1991-12-31 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingtom Of Great Britain And Northern Ireland Silicon electroluminescent device
US5441901A (en) * 1993-10-05 1995-08-15 Motorola, Inc. Method for forming a carbon doped silicon semiconductor device having a narrowed bandgap characteristic
US5436180A (en) * 1994-02-28 1995-07-25 Motorola, Inc. Method for reducing base resistance in epitaxial-based bipolar transistor
US6135128A (en) * 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6346452B1 (en) * 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
US20020018897A1 (en) * 2000-03-08 2002-02-14 Christian Kuckertz Plasma-treated materials
US20020014407A1 (en) * 2000-07-10 2002-02-07 Allen Lisa P. System and method for improving thin films by gas cluster ion beam processing
US20020155724A1 (en) * 2001-04-19 2002-10-24 Kabushiki Kaisha Toshiba Dry etching method and apparatus
US20030023118A1 (en) * 2001-05-23 2003-01-30 Toshihiko Kanayama Carborane supercluster and method of producing same
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US7135775B2 (en) * 2002-01-18 2006-11-14 Intel Corporation Enhancement of an interconnect
US20040166612A1 (en) * 2002-06-05 2004-08-26 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US20060097193A1 (en) * 2002-06-26 2006-05-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US20040235280A1 (en) * 2003-05-20 2004-11-25 Keys Patrick H. Method of forming a shallow junction
US20050191816A1 (en) * 2004-02-26 2005-09-01 Vanderpool Aaron O. Implanting carbon to form P-type source drain extensions
US20100224264A1 (en) * 2005-06-22 2010-09-09 Advanced Technology Materials, Inc. Apparatus and process for integrated gas blending
US7943204B2 (en) * 2005-08-30 2011-05-17 Advanced Technology Materials, Inc. Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
US7446326B2 (en) * 2005-08-31 2008-11-04 Varian Semiconductor Equipment Associates, Inc. Technique for improving ion implanter productivity
US20070148888A1 (en) * 2005-12-09 2007-06-28 Krull Wade A System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
US7572482B2 (en) * 2006-04-14 2009-08-11 Bae Systems Information And Electronic Systems Integration Inc. Photo-patterned carbon electronics
WO2007127865A2 (en) * 2006-04-26 2007-11-08 Advanced Technology Materials, Inc. Cleaning of semiconductor processing systems
US7553758B2 (en) * 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US8013312B2 (en) * 2006-11-22 2011-09-06 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US20080299749A1 (en) * 2006-12-06 2008-12-04 Jacobson Dale C Cluster ion implantation for defect engineering
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US20080305598A1 (en) * 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
US7947582B2 (en) * 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US20110079241A1 (en) * 2009-10-01 2011-04-07 Ashwini Sinha Method for ion source component cleaning
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US20120108044A1 (en) * 2009-10-27 2012-05-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8187971B2 (en) * 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
US20110143527A1 (en) * 2009-12-14 2011-06-16 Varian Semiconductor Equipment Associates, Inc. Techniques for generating uniform ion beam
US8252651B2 (en) * 2010-03-18 2012-08-28 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20120119113A1 (en) * 2010-11-17 2012-05-17 Axcelis Technologies, Inc. Implementation of CO-Gases for Germanium and Boron Ion Implants

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Jacques Pelletier & André Anders, Plasma-Based Ion Implantation and Deposition: A Review of Physics, Technology, and Applications, IEEE Transactions on Plasma Science, Vol. 33, No. 6, December 2005, pp. 1944-1959. *

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10497569B2 (en) 2009-07-23 2019-12-03 Entegris, Inc. Carbon materials for carbon implantation
US20130019797A1 (en) * 2011-07-14 2013-01-24 Sen Corporation Impurity-doped layer formation apparatus and electrostatic chuck protection method
US9312163B2 (en) * 2011-07-14 2016-04-12 Sumitomo Heavy Industries, Ltd. Impurity-doped layer formation apparatus and electrostatic chuck protection method
US8937003B2 (en) 2011-09-16 2015-01-20 Varian Semiconductor Equipment Associates, Inc. Technique for ion implanting a target
WO2013040369A1 (en) * 2011-09-16 2013-03-21 Varian Semiconductor Equipment Associates, Inc. Technique for ion implanting a target
US8916067B2 (en) 2011-10-19 2014-12-23 The Aerospace Corporation Carbonaceous nano-scaled materials having highly functionalized surface
EP2815424A4 (en) * 2012-02-14 2015-10-14 Entegris Inc CARBON DIAGNOSTIC GAS AND CO-FLOW FOR OPTIMIZING IMPLANT RADIATION AND SOURCE LIFE PERFORMANCE
WO2013122986A1 (en) * 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US20160020102A1 (en) * 2012-02-14 2016-01-21 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9960042B2 (en) * 2012-02-14 2018-05-01 Entegris Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US10354877B2 (en) * 2012-02-14 2019-07-16 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
CN108565198A (zh) * 2012-02-14 2018-09-21 恩特格里斯公司 用于改善注入束和源寿命性能的碳掺杂剂气体和协流
EP3267470A3 (en) * 2012-02-14 2018-04-18 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US20130341761A1 (en) * 2012-06-20 2013-12-26 Ashwini K. Sinha Methods for extending ion source life and improving ion source performance during carbon implantation
EP2677058A1 (en) 2012-06-20 2013-12-25 Praxair Technology, Inc. Gas compositions.
EP2677057A1 (en) 2012-06-20 2013-12-25 Praxair Technology, Inc. Methods for extending ion source life and improving ion source performance during carbon implantation
JP2016511504A (ja) * 2012-12-21 2016-04-14 プラクスエア・テクノロジー・インコーポレイテッド 炭素イオン注入のためのドーパント組成物の貯蔵及び準大気圧送達
US20170032967A1 (en) * 2012-12-21 2017-02-02 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
KR20190031594A (ko) * 2012-12-21 2019-03-26 프랙스에어 테크놀로지, 인코포레이티드 탄소 이온 주입을 위한 도판트 조성물의 저장 및 대기압 이하의 전달
US9552990B2 (en) 2012-12-21 2017-01-24 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
KR20150096767A (ko) * 2012-12-21 2015-08-25 프랙스에어 테크놀로지, 인코포레이티드 탄소 이온 주입을 위한 도판트 조성물의 저장 및 대기압 이하의 전달
KR102208866B1 (ko) * 2012-12-21 2021-01-28 프랙스에어 테크놀로지, 인코포레이티드 탄소 이온 주입을 위한 도판트 조성물의 저장 및 대기압 이하의 전달
KR102400427B1 (ko) * 2012-12-21 2022-05-19 프랙스에어 테크놀로지, 인코포레이티드 탄소 이온 주입을 위한 도판트 조성물의 저장 및 대기압 이하의 전달
US10090133B2 (en) 2014-03-03 2018-10-02 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
US11450504B2 (en) * 2018-11-01 2022-09-20 Applied Materials, Inc. GeH4/Ar plasma chemistry for ion implant productivity enhancement
US11756772B2 (en) 2019-06-06 2023-09-12 Axcelis Technologies, Inc. System and method for extending a lifetime of an ion source for molecular carbon implants

Also Published As

Publication number Publication date
US10497569B2 (en) 2019-12-03
US20170069499A1 (en) 2017-03-09
TWI478200B (zh) 2015-03-21
TWI636483B (zh) 2018-09-21
US20130078790A1 (en) 2013-03-28
US20200051819A1 (en) 2020-02-13
TW201115617A (en) 2011-05-01
TW201513161A (zh) 2015-04-01

Similar Documents

Publication Publication Date Title
US10497569B2 (en) Carbon materials for carbon implantation
US7586109B2 (en) Technique for improving the performance and extending the lifetime of an ion source with gas dilution
TWI436400B (zh) 使離子植入機中的離子源之效能改良及生命期延長的方法、裝置及系統
US10090133B2 (en) Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
US9991095B2 (en) Ion source cleaning in semiconductor processing systems
US9396902B2 (en) Gallium ION source and materials therefore
US10535498B2 (en) Lanthanated tungsten ion source and beamline components
US5943594A (en) Method for extended ion implanter source lifetime with control mechanism
US11699565B2 (en) Fluorine based molecular co-gas when running dimethylaluminum chloride as a source material to generate an aluminum ion beam
WO2022011330A2 (en) Hydrogen co-gas when using a chlorine-based ion source material
US8907301B1 (en) Gas mixture method for generating ion beam
US11699563B2 (en) Etching aluminum nitride or aluminum oxide to generate an aluminum ion beam
US11798775B2 (en) Extended lifetime dual indirectly-heated cathode ion source
JP7344353B2 (ja) 炭素注入用のホスフィン複合ガス
US20240035148A1 (en) Ion implantation system and method for implanting aluminum using non-fluorine-containing halide species or molecules

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SWEENEY, JOSEPH D.;BYL, OLEG;KAIM, ROBERT;SIGNING DATES FROM 20100802 TO 20100818;REEL/FRAME:024910/0372

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

AS Assignment

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED TECHNOLOGY MATERIALS, INC.;REEL/FRAME:034894/0025

Effective date: 20150204

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106