US20050176259A1 - Method for removing photoresist - Google Patents

Method for removing photoresist Download PDF

Info

Publication number
US20050176259A1
US20050176259A1 US10/512,586 US51258604A US2005176259A1 US 20050176259 A1 US20050176259 A1 US 20050176259A1 US 51258604 A US51258604 A US 51258604A US 2005176259 A1 US2005176259 A1 US 2005176259A1
Authority
US
United States
Prior art keywords
photoresist
stripping
substrate
low
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/512,586
Other languages
English (en)
Inventor
Shigeru Yokoi
Kazumasa Wakiya
Takayuki Haraguchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Ohka Kogyo Co Ltd
Original Assignee
Tokyo Ohka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Ohka Kogyo Co Ltd filed Critical Tokyo Ohka Kogyo Co Ltd
Assigned to TOKYO OHKA KOGYO CO., LTD. reassignment TOKYO OHKA KOGYO CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HARAGUCHI, TAKAYUKI, WAKIYA, KAZUMASA, YOKOI, SHIGERU
Publication of US20050176259A1 publication Critical patent/US20050176259A1/en
Priority to US11/889,394 priority Critical patent/US20070298619A1/en
Priority to US12/219,120 priority patent/US20080280452A1/en
Priority to US12/458,992 priority patent/US20090291565A1/en
Priority to US12/883,592 priority patent/US8354215B2/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor

Definitions

  • the present invention relates to a method for stripping a photoresist formed on a substrate having at least a copper (Cu) wiring and a low-dielectric layer thereon.
  • the invention is favorably applied to a method for stripping a photoresist in a process not including a conventional O 2 plasma ashing step in the fabrication of semiconductor devices, such as ICs and LSIs.
  • a photoresist is uniformly coated on an electroconductive metallic layer, an insulating layer and a low-dielectric material layer formed on a substrate, such as a silicon wafer, by CVD vapor deposition process or the like.
  • the photoresist is selectively subjected to exposure and development to form a photoresist pattern.
  • the electroconductive metallic layer, the insulating layer and the low-dielectric material layer formed by CVD vapor deposition are selectively etched by using the photoresist pattern as a mask to form a minute circuit, and the photoresist layer thus becoming unnecessary is then removed with a stripping solution.
  • a process is used in which a Cu multilayer wiring is formed without etching Cu by using a dual damascene process, owing to the low etching resistance of Cu.
  • dual damascene processes have been proposed.
  • One example thereof comprises forming a Cu layer, a low-dielectric layer (e.g., SiOC layer) is accumulated as being multilayered on a substrate, then providing a photoresist layer as the uppermost layer, and thereafter selectively exposing the photoresist layer to light and developing it to form a photoresist pattern (a “first photoresist pattern”).
  • the low-dielectric layer is etched, and then the first photoresist pattern is stripped away by O 2 plasma ashing treatment or the like thereby to form via holes that connect to the Cu layer on the substrate.
  • another photoresist pattern (a “second photoresist pattern”) is newly formed as the uppermost layer on the remaining multilayer structure, and the remaining low-dielectric layer is partly etched by using the second photoresist pattern as a mask pattern to thereby form wiring trenches that communicate with the above-described via holes.
  • the second photoresist pattern is stripped away by O 2 plasma ashing treatment or the like, and then the via holes and the trenches are filled with Cu by electrolytic plating or other method, thereby forming multilayered Cu wiring conductors.
  • the substrate for use in the process may optionally be provided with a barrier layer (e.g., SiN layer, SiC layer) as an etching stopper layer between the Cu layer and the low dielectric layer.
  • a barrier layer e.g., SiN layer, SiC layer
  • via holes and trenches are formed, and then, while the barrier layer exposed out on the substrate is kept as such or after the barrier layer has been removed, the photoresist is stripped away and thereafter the via holes and the trenches are filled with Cu.
  • Si deposition may readily occur, resulting from the low-dielectric layer, during the etching treatment and the plasma ashing treatment for forming the via holes and the trenches, and this may form Si deposits around the opening of the trenches.
  • a deposition that results from photoresist may also occur. If these deposits are not completely removed, then it causes a problem in that the yield in semiconductor production may lower.
  • O 2 plasma ashing treatment has been employed for removal of photoresist patterns and etching residues in conventional patterning for metal wiring.
  • a material having a lower dielectric constant has become used for the low-dielectric layer to be formed on Cu wiring substrates, and at present, a process of using a low-dielectric layer that has a dielectric constant of 3 or less is being developed. It is said that the material of the type having such a low dielectric constant (low-k material) is poorly resistant to ashing or is not resistant to ashing, and when such a low-k material is used, a process not including an O 2 plasma ashing step after etching must be employed.
  • the Cu layer may be kept away from direct contact with the photoresist stripping solution during the stripping treatment, and therefore, it is desirable that the stripping treatment is more efficiently attained according to it.
  • JP-A-11-74180 discloses a technique of washing a semiconductor substrate having Al or the like metal wiring thereon, with a washing solution containing an oxidizing agent (hydrogen peroxide) before a photoresist is stripped away from it, and then stripping the photoresist from it by the use of a stripping solution.
  • an oxidizing agent hydrogen peroxide
  • Reference 1 discloses, in one line (as example listing) in its [0007], a tetramethylammonium hydroxide (TMAH)-based stripping solution such as that in JP-A-63-147168 (Patent Reference 2), along with an alkanolamine-based stripping solution and a fluorine-containing stripping solution also disclosed therein.
  • TMAH tetramethylammonium hydroxide
  • Patent Reference 1 only monoethanolamine-based stripping solutions are actually tested and confirmed in point of their effects, and Patent References 1 and 2 do neither describe nor suggest at all a photoresist stripping method suitable to a dual damascene process that is targeted by the present invention.
  • JP-A-11-233405 discloses a method for producing semiconductor devices, which comprises dry etching a semiconductor substrate having an Al or the like metal wiring thereon, then washing a photoresist pattern with a washing solution that comprises an oxidizing agent and an organic acid, and thereafter stripping it with a resist stripping solution. Also in this publication, only monoethanolamine-based stripping solutions are actually tested and confirmed in point of their effects, and this publication does neither describe nor suggest at all the photoresist stripping method suitable to a dual damascene process that is targeted by the present invention.
  • the present invention has been made in consideration of the above-mentioned situation, and its object is to provide a method for stripping a photoresist which, even when employed in a process with no O 2 plasma ashing treatment for micropatterning a substrate that has at least copper (Cu) wiring and a low-dielectric layer thereon, enables effective stripping of an etched photoresist film and an etching residue and which exhibits good corrosion resistance not having any negative influence on the dielectric constant of the low-dielectric layer.
  • Cu copper
  • the invention provides a method for stripping a photoresist that comprises:
  • a copper (Cu) wiring is formed on a substrate such as silicon wafer, and a low-dielectric layer is formed thereon.
  • a barrier layer etching stopper layer
  • an insulating layer may be provided to form a multi-layered structure.
  • the copper (Cu) wiring includes both wiring of pure copper, and a wiring of a copper-based alloy (e.g., Al—Si—Cu, Al—Cu).
  • a copper-based alloy e.g., Al—Si—Cu, Al—Cu
  • an Al-based wiring or any other metal wirings than the Cu wiring may also be used.
  • the metal layer may be formed through CVD, electrolytic plating or the like.
  • the barrier layer includes SiN layer, SiC layer, Ta layer, TaN layer, but is not limited to these.
  • the low-dielectric layer in the invention is formed of a material having a dielectric constant of 3 or less.
  • the material for the low dielectric layer examples include a low dielectric material (low-k material), for example, a carbon-doped silicon oxide (SiOC) material, such as “Black Diamond” (produced by Applied Materials, Inc.), “Coral” (produced by Novellus Systems, Inc.) and “Aurora” (produced by ASM Japan Co., Ltd.); an MSQ (methylsilsesquioxane) material, such as “OCD T-7”, “OCD T-9”, “OCD T-11”, “OCD T-31” and “OCD T-39” (all produced by Tokyo Ohka Kogyo Co., Ltd.); and an HSQ (hydroxysilsesquioxane) material, such as “OCD T-12” and “OCD T-32” (all produced by Tokyo Ohka Kogyo Co., Ltd.), but it is not limited to these examples.
  • a low dielectric material for example, a carbon-doped silicon oxide (SiOC) material, such as “Black Diamond” (produced by
  • the low-dielectric layer may be formed by applying the above-mentioned low-dielectric material (low-k material) on a substrate, and then baking it at a high temperature generally not lower than 350° C. for crystallizing it.
  • low-k material low-dielectric material
  • a photoresist composition is applied on the low-dielectric layer and dried, and then exposed to light and developed according to known photolithography to form a photoresist pattern.
  • the photoresist composition is preferably any of those generally used for KrF—, ArF—, F 2 -excimer lasers or electron rays, but not limited thereto.
  • the condition for exposure and development may be suitably determined, depending on the photoresist selected in accordance with the object.
  • the photoresist layer may be exposed to a light source capable of emitting active rays such as UV rays, far-UV rays, excimer laser, X-rays or electron rays, such as a low-pressure mercury lamp, a high-pressure mercury lamp, an ultra-high-pressure mercury lamp or a xenon lamp, through a desired mask pattern, or the photoresist layer may be directly patterned by controlling electron rays applied thereto.
  • the photoresist pattern may be further baked (post-exposure baking).
  • the method of development is not also specifically defined.
  • the photoresist-coated substrate is dipped in a developer for a predetermined period of time, then washed with water and dried (dip development); or a developer is dropwise applied to the surface of the photoresist-coated substrate, and then the substrate is kept as such for a predetermined period of time, then washed with water and dried (paddle development); or the photoresist surface is sprayed with a developer, then washed with water and dried (spray development).
  • Various modes of development may be employed in accordance with the object.
  • the low-dielectric layer is selectively etched, for example, dry-etched through the formed photoresist pattern serving as a mask, to thereby form via holes or trenches (for wiring).
  • a dual damascene process is preferably employed.
  • step (II) is for decomposing the photoresist pattern and the etching residue prior to the subsequent step (III).
  • Ozone water for use herein is preferably one prepared by dissolving ozone gas in pure water by bubbling or the like.
  • the ozone concentration is from 1 ppm to a saturation concentration.
  • Aqueous hydrogen peroxide for use herein is preferably an aqueous solution having a hydrogen peroxide concentration of from 0.1 to 60% by mass or so, more preferably from 0.5 to 35% by mass or so.
  • the substrate is dipped in ozone water and/or aqueous hydrogen peroxide for 5 to 60 minutes or so.
  • the substrate is contacted with a photoresist stripping solution that contains at least a quaternary ammonium hydroxide to thereby remove the photoresist pattern and the etching residue.
  • the quaternary ammonium hydroxide is preferably one represented by the following general formula (I): wherein R 1 , R 2 , R 3 and R 4 each independently represent an alkyl or hydroxyalkyl group having from 1 to 4 carbon atoms.
  • TMAH tetramethylammonium hydroxide
  • TMAH tetramethylammonium hydroxide
  • tetraethylammonium hydroxide tetrapropylammonium hydroxide
  • TMAH tetramethylammonium hydroxide
  • tetrapropylammonium hydroxide tetrapropylammonium hydroxide
  • tetrabutylammonium hydroxide methyltripropyl
  • tetramethylammonium hydroxide preferred are tetramethylammonium hydroxide, tetrabutylammonium hydroxide, tetrapropylammonium hydroxide, methyltributylammonium hydroxide, methyltripropylammonium hydroxide and choline, in view of their ability to strip Cu or Si-containing residues and to strip photoresist.
  • quaternary ammonium hydroxides may be used herein.
  • the amount of the quaternary ammonium hydroxide to be in the photoresist stripping solution is preferably from 1 to 20% by mass or so, more preferably from 2 to 10% by mass or so.
  • the photoresist stripping solution for use in the invention generally contains water and a water-soluble organic solvent, in addition to the quaternary ammonium hydroxide therein.
  • the amount of water may be from 5 to 60% by mass or so, preferably from 10 to 50% by mass.
  • the balance is a water-soluble organic solvent.
  • dimethyl sulfoxide dimethylimidazolidinone, N-methyl-2-pyrrolidone, diethylene glycol monobutyl ether, sulforane, N,N-dimethylacetamide, and N,N-dimethylformamide.
  • water-soluble organic solvents may be sued herein.
  • the photoresist stripping solution may further contain a water-soluble amine, if desired.
  • the water-soluble amine includes alkanolamines, such as monoethanolamine, diethanolamine, triethanolamine, 2-(2-aminoethoxy)ethanol, N,N-dimethylethanolamine, N,N-diethylethanolamine, N,N-dibutylethanolamine, N-methylethanolamine, N-ethylethanolamine, N-butylethanolamine, N-methyldiethanolamine, monoisopropanolamine, diisopropanolamine, triisopropanolamine; polyalkylene-polyamines, such as diethylenetriamine, triethylenetetramine, propylenediamine, N,N-diethylethylenediamine, 1,4-butanediamine, N-ethyl-ethylenediamine, 1,2-propanediamine, 1,3-propanediamine, 1,6-hexanediamine; aliphatic amines, such as
  • a water-soluble amine is added to the photoresist stripping solution, then its amount is preferably from 10 to 50% by mass or so.
  • the solution may further contain a carboxyl group-having acid compound, and a salt of hydrofluoric acid with a metal ion-free base, especially in view of their stripping abilities.
  • carboxyl group-having acid compound examples include acetic acid, propionic acid, and glycolic acid.
  • carboxyl group-having acid compound is added to the photoresist stripping solution, then its amount is preferably from 2 to 20% by mass or so.
  • One preferred example of the salt of hydrofluoric acid with a metal ion-free base is ammonium fluoride.
  • a salt of hydrofluoric acid with a metal ion-free base is added to the photoresist stripping solution, then its amount is preferably from 0.1 to 10% by mass or so.
  • the substrate is not provided with a barrier layer (etching stopper layer) as an interlayer, or when the substrate is provided with such a barrier layer but is processed for stripping photoresist from it after the barrier layer is etched away, then it is desirable that at least one corrosion inhibitor selected from aromatic hydroxy compounds, benzotriazole-based compounds and mercapto group containing compounds is added to the photoresist stripping solution, for preventing Cu wirings from the corrosion.
  • etching stopper layer etching stopper layer
  • the benzotriazole-based compounds include the ones represented by the following general formula (II): where R 5 and R 6 are each independently a hydrogen atom, a substituted or unsubstituted hydrocarbon group of 1-10 carbon atoms, a carboxyl group, an amino group, a hydroxyl group, a cyano group, a formyl group, a sulfonylalkyl group or a sulfo group; Q is a hydrogen atom, a hydroxyl group or a substituted or unsubstituted hydrocarbon group of 1-10 carbon atoms provided that said hydrocarbon group may have an amide bond or ester bond in the structure, an aryl group or the group represented by the following formula (III): wherein R 7 represents an alkyl group of 1-6 carbon atoms; and R 8 and R 9 are each independently a hydrogen atom, a hydroxyl group or a hydroxyalkyl group or an alkoxyalkyl group of 1-6 carbon atoms.
  • each of the hydrocarbon groups may be an aromatic hydrocarbon group or an aliphatic hydrocarbon group, may be saturated or unsaturated, and may be a linear group or a branched group.
  • Examples of a substituted hydrocarbon group include hydroxyalkyl groups and alkoxylalkyl groups.
  • Q in the above general formula (II) is a group represented by the formula (III).
  • R 8 and R 9 are independently a hydroxyalkyl group or an alkoxyalkyl group of 1-6 carbon atoms.
  • Q preferably forms a water-soluble group and to give specific examples, a hydrogen atom, an alkyl group of 1-3 carbon atoms (i.e., methyl, ethyl, propyl or isopropyl), a hydroxyalkyl group of 1-3 carbon atoms and a hydroxyl group are particularly preferred from the viewpoint of effective protection of inorganic material layer, such as a polysilicon film, an amorphous silicon film, etc. against corrosion.
  • benzotriazole-based compounds include benzotriazole, 5,6-dimethylbenzotriazole, 1-hydroxybenzotriazole, 1-methylbenzotriazole, 1-aminobenzotriazole, 1-phenylbenzotriazole, 1-hydroxymethylbenzotriazole, 1-benzotriazole-methyl carboxylate, 5-benzotriazole-carboxylic acid, 1-methoxybenzotriazole, 1-(2,2-dihydroxyethyl)benzotriazole, 1-(2,3-dihydroxypropyl)benzotriazole, and products of “IRGAMET” series marketed from Ciba Specialty Chemicals, such as 2,2′- ⁇ [(4-methyl-1H-benzotriazol-1-yl)methyl]imino ⁇ bisethanol, 2,2′- ⁇ [(5-methyl-1H-benzotriazol-1-yl)methyl]imino ⁇ bisethanol, 2,2′- ⁇ [(4-methyl-1H-benzotriazol-1-y
  • 1-(2,3-dihydroxypropyl)benzotriazole 2,2′- ⁇ [(4-methyl-1H-benzotriazol-1-yl)methyl]imino ⁇ bisethanol, 2,2′- ⁇ [(5-methyl-1H-benzotriazol-1-yl)methyl]imino ⁇ bisethanol, etc.
  • the benzotriazole-based compounds may be used either individually or in combination.
  • the mercapto group containing compound is preferably of such a structure that a hydroxyl group and/or a carboxyl group is present in either ⁇ -position or ⁇ -position on the carbon atom binding to the mercapto group.
  • preferred examples of such compound include 1-thioglycerol, 3-(2-aminophenylthio)-2-hydroxypropylmercaptan, 3-(2-hydroxyethylthio)-2-hydroxypropylmercaptan, 2-mercaptopropionic acid and 3-mercaptopropionic acid.
  • 1-thioglycerol is used with particular preference.
  • Mercapto group containing compounds may be used either singly or in admixture.
  • the amount of the compounds to be in the solution may vary depending on the type of the solution.
  • the amount of each compound is preferably from 0.1 to 10% by mass or so, more preferably from 0.5 to 5% by mass or so.
  • the uppermost limit of the total amount of the compounds is preferably at most 15% by mass or so.
  • the stripping solution of the invention may further contain, as an optional component, an acetylene alcohol/alkylene oxide adduct prepared by adding an alkylene oxide to an acetylene alcohol.
  • acetylene alcohol as described above, use may be preferably made of compounds represented by the following general formula (IV): wherein R 10 is a hydrogen atom or a group represented by the following formula (V): and R 11 , R 12 , R 13 and R 14 are each independently a hydrogen atom or an alkyl group having 1-6 carbon atoms.
  • acetylene alcohols are commercially available under trade names of “Surfynol” and “Olfin” series (both are produced by Air Product and Chemicals Inc.). Of these commercial products, “Surfynol 104”, “Surfynol 82” or mixtures thereof are most preferred for the physical properties. Use can be also made of “Olfin B”, “Olfin P”, “Olfin Y”etc.
  • alkylene oxide to be added to the acetylene alcohol as described above it is preferable to use ethylene oxide, propylene oxide or a mixture thereof.
  • acetylene alcohol/alkylene oxide adduct compounds represented by the following general formula (VI): wherein R 15 is a hydrogen atom or a group represented by the following formula (VII): and R 16 , R 17 , R 18 and R 19 are each independently a hydrogen atom or an alkyl group having 1-6 carbon atoms; (n+m) is an integer of 1 to 30, which is the number of ethylene oxide molecules added. This number subtly affects the properties of the compound such as water solubility and surface tension.
  • acetylene alcohol/alkylene oxide adducts per se are known as surfactants. These products are commercially available under the trade names of “Surfynol” series (products of Air Product and Chemicals Inc.) and “Acetylenol” series (products of Kawaken Fine Chemicals Co., Ltd.) and have been appropriately utilized.
  • a mixture of “Acetylenol EL” with “Acetylenol EH” in a mass ratio of 2:8 to 4:6 is particularly desirable.
  • acetylene alcohol/alkylene oxide adduct makes it possible to improve the penetrating properties and wetting properties of the stripping solution.
  • the stripping solution according to the invention contains the acetylene alcohol/alkylene oxide adduct, the amount thereof is preferably 0.05-5% by mass, more preferably 0.1-2% by mass.
  • the photoresist stripping solution of the invention can advantageously be used with all photoresists, whether negative- or positive-working, that can be developed with aqueous alkaline solutions.
  • photoresists include, but are not limited to, (i) a positive-working photoresist containing a naphthoquinonediazide compound and a novolak resin, (ii) a positive-working photoresist containing a compound that generates an acid upon exposure, a compound that decomposes with an acid to have a higher solubility in aqueous alkali solutions, and an alkali-soluble resin, (iii) a positive-working photoresist containing a compound that generates an acid upon exposure and an alkali-soluble resin having a group that decomposes with an acid to have a higher solubility in aqueous alkali solutions, and (iv) a negative-working photoresist containing a compound that generates an acid upon illumination with light,
  • the photoresist stripping solution is contacted with the substrate after the step (II), to thereby strip and remove the etching residues and the photoresist pattern.
  • the method for their contact is not specifically defined, for which, in general, employed is any of a dip method, a paddle method or a spray method.
  • the stripping time may be enough so far as the intended stripping may be attained within the period of time.
  • the decomposition treatment with ozone water and/or aqueous hydrogen peroxide is carried out prior to the removing step with the stripping solution, and then the stripping treatment is effected by the use of the photoresist stripping solution that contains at least the quaternary ammonium hydroxide mentioned above.
  • the method of the invention does not include O 2 plasma ashing treatment, it ensures removal of etching residues and photoresist pattern to a level of advantageous stripping effect that is comparable to or higher than the O 2 plasma ashing treatment, and, in addition, the low-dielectric layers can be protected from corrosion. Accordingly, even when a low-dielectric layer (low-k layer), which is said to have almost no resistance to ashing, is formed on a substrate, the substrate may well be treated according to the method of the invention for stripping photoresist therefrom. Any negative influences, such as changing a dielectric constant of the low-dielectric, corroding the layer, etc., are not occurred.
  • the substrate may be rinsed with any conventional organic solvent, water or the like and may be dried.
  • the organic solvent is preferably a lower alcohol, more preferably isopropyl alcohol.
  • any known method is employable.
  • herein employable are a “via-first” method where via holes are first formed and then trenches are formed; and a “trench-first” method where trenches are first formed and then via holes are formed, which, however, are not limitative.
  • a low-dielectric layer is etched using a photoresist pattern (first photoresist pattern) serving as a mask to thereby form via holes that connect to the metal layer on a substrate (when the substrate is provided with a barrier layer formed thereon, then the via holes connect to the metal layer through the barrier layer), and then the substrate is contacted with the photoresist stripping solution that is used in the invention to thereby strip away the first photoresist pattern.
  • second photoresist pattern is formed on the remaining low-dielectric layer, and the layer is partly etched using the photoresist pattern serving as a mask to thereby form trenches that communicate with the via holes.
  • the substrate is contacted with the photoresist stripping solution that is used in the invention to thereby strip away the second photoresist pattern.
  • a low-dielectric layer is etched to a predetermined depth thereof using a photoresist pattern (first photoresist pattern) serving as a mask to thereby form trenches, and then the substrate is contacted with the photoresist stripping solution that is used in the invention to thereby strip away the first photoresist pattern.
  • first photoresist pattern serving as a mask
  • second photoresist pattern is formed on the remaining low-dielectric layer, and using it as a mask, the low-dielectric layer is etched so as to communicate with the trenches, thereby from via holes whose lower part communicates with the Cu layer on the substrate (when a barrier layer is formed on the substrate, then the via holes reach the Cu layer on the substrate via the barrier layer).
  • the substrate is contacted with the photoresist stripping solution that is used in the invention to thereby strip away the second photoresist pattern.
  • the via holes and the trenches are filled, for example, electroplated with Cu to form multilayered Cu wiring.
  • a substrate having a Cu wiring thereon that is overlaid with an SiOC layer (carbon-doped oxide layer; low-k layer) was used.
  • a positive photoresist, TDUR-P722 (by Tokyo Ohka Kogyo Co., Ltd.) was coated on the substrate, and heated at 140° C. for 90 seconds to form a photoresist layer, and then selectively exposed to light using S-203B (by Nikon Corp.), then further heated at 140° C. for 90 seconds (post-exposure baking treatment), and developed with an aqueous 2.38 mas. % tetraammonium hydroxide (TMAH) solution to form a photoresist pattern.
  • TMAH aqueous 2.38 mas. % tetraammonium hydroxide
  • the substrate was contacted with ozone water for 15 minutes.
  • the ozone water had been prepared by bubbling ozone gas into pure water for 15 minutes.
  • the substrate was dipped (at 60° C. for 30 seconds) in a photoresist stripping solution having the composition as in Table 1 below (stripping solutions A to F).
  • the substrate that had been etched in the same manner as in Examples 1 to 6 was contacted with aqueous 30 mas. % hydrogen peroxide heated at 60° C., for 30 minutes, and then dipped (at 60° C. for 30 seconds) in a photoresist stripping solution having the composition as in Table 1 below (stripping solutions A to F).
  • the substrate that had been etched in the same manner as in Examples 1 to 6 was treated in the same manner as in Examples 1 to 6 except that the step of contacting it with ozone water was omitted.
  • a substrate having a Cu wiring thereon that is overlaid with an SiN layer (barrier layer) and an SiOC layer (carbon-doped oxide layer; low-k layer) was used.
  • a positive photoresist, TDUR-P722 (by Tokyo Ohka Kogyo Co., Ltd.) was coated on the substrate, and heated at 140° C. for 90 seconds to form a photoresist layer, and then selectively exposed to light using S-203B (by Nikon Corp.), then further heated at 140° C. for 90 seconds (post-exposure baking treatment), and developed with an aqueous 2.38 mas. % tetraammonium hydroxide (TMAH) solution to form a photoresist pattern.
  • TMAH aqueous 2.38 mas. % tetraammonium hydroxide
  • the substrate was contacted with ozone water for 15 minutes.
  • the ozone water had been prepared by bubbling ozone gas into pure water for 15 minutes.
  • the substrate was dipped (at 60° C. for 30 seconds) in a photoresist stripping solution having the composition as in Table 2 below (stripping solutions G to L).
  • the substrate that had been etched in the same manner as in Examples 13 to 18 was contacted with aqueous 30 mas. % hydrogen peroxide heated at 60° C., for 30 minutes, and then dipped (at 60° C. for 30 seconds) in a photoresist stripping solution having the composition as in Table 2 below (stripping solutions G to L).
  • the substrate that had been etched in the same manner as in Examples 13 to 18 was dipped (at 60° C. for 30 seconds) in a photoresist stripping solution having the composition as in Table 2 below (stripping solutions G to L), but the step of contacting it with ozone water and/or aqueous hydrogen peroxide was omitted.
  • the present invention ensures advantageous effects in stripping photoresist films and etching residues even in a process that does not include O 2 plasma ashing treatment in micropatterning of a substrate having at least Cu wiring and a low-dielectric layer thereon, and, in addition, the method for stripping a photoresist of the invention does not have any negative influence on the dielectric constant of the low-dielectric layer and does not corrode the low-dielectric layer.
  • the photoresist-stripping method of the invention is suitable to a dual damascene process of micropatterning a substrate that has at least Cu wiring and a low-dielectric layer thereon.
  • it is favorable for stripping photoresists in a process not includeing a conventional O 2 plasma ashing step for the production of semiconductor devices such as ICs, LSIs, etc.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
US10/512,586 2002-04-26 2003-04-25 Method for removing photoresist Abandoned US20050176259A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/889,394 US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist
US12/219,120 US20080280452A1 (en) 2002-04-26 2008-07-16 Method for stripping photoresist
US12/458,992 US20090291565A1 (en) 2002-04-26 2009-07-29 Method for stripping photoresist
US12/883,592 US8354215B2 (en) 2002-04-26 2010-09-16 Method for stripping photoresist

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2002125471 2002-04-26
JP2002-125471 2002-04-26
JP2002308993A JP3516446B2 (ja) 2002-04-26 2002-10-23 ホトレジスト剥離方法
JP2002-308993 2002-10-23
PCT/JP2003/005336 WO2003091806A1 (fr) 2002-04-26 2003-04-25 Procede de retrait de photoresist

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/889,394 Continuation US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist

Publications (1)

Publication Number Publication Date
US20050176259A1 true US20050176259A1 (en) 2005-08-11

Family

ID=29272357

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/512,586 Abandoned US20050176259A1 (en) 2002-04-26 2003-04-25 Method for removing photoresist
US11/889,394 Abandoned US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist
US12/219,120 Abandoned US20080280452A1 (en) 2002-04-26 2008-07-16 Method for stripping photoresist
US12/458,992 Abandoned US20090291565A1 (en) 2002-04-26 2009-07-29 Method for stripping photoresist
US12/883,592 Expired - Lifetime US8354215B2 (en) 2002-04-26 2010-09-16 Method for stripping photoresist

Family Applications After (4)

Application Number Title Priority Date Filing Date
US11/889,394 Abandoned US20070298619A1 (en) 2002-04-26 2007-08-13 Method for stripping photoresist
US12/219,120 Abandoned US20080280452A1 (en) 2002-04-26 2008-07-16 Method for stripping photoresist
US12/458,992 Abandoned US20090291565A1 (en) 2002-04-26 2009-07-29 Method for stripping photoresist
US12/883,592 Expired - Lifetime US8354215B2 (en) 2002-04-26 2010-09-16 Method for stripping photoresist

Country Status (8)

Country Link
US (5) US20050176259A1 (fr)
EP (1) EP1550912A4 (fr)
JP (1) JP3516446B2 (fr)
KR (1) KR100781925B1 (fr)
CN (1) CN1650235A (fr)
AU (1) AU2003235130A1 (fr)
TW (1) TW200307186A (fr)
WO (1) WO2003091806A1 (fr)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040131980A1 (en) * 2002-07-24 2004-07-08 Kei Hayasaki Method for forming a pattern and substrate-processing apparatus
US20050048397A1 (en) * 2003-08-27 2005-03-03 Lg.Philips Lcd Co., Ltd. Composition and method for removing copper-compatible resist
US20060154839A1 (en) * 2003-08-19 2006-07-13 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
US20070111912A1 (en) * 2005-10-28 2007-05-17 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070129274A1 (en) * 2005-12-06 2007-06-07 Samsung Electronics Co., Ltd. Manufacturing and cleansing of thin film transistor panels
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20090036344A1 (en) * 2007-08-03 2009-02-05 Kimberly Dona Pollard Reduced metal etch rates using stripper solutions containing metal salts
US20090047609A1 (en) * 2007-08-15 2009-02-19 Atkinson John M Metal conservation with stripper solutions containing resorcinol
US20090209104A1 (en) * 2006-07-05 2009-08-20 Tadahiro Kimura Polishing slurry for cmp, and polishing method
US20100022426A1 (en) * 2005-05-12 2010-01-28 Shigeru Yokoi Photoresist stripping solution
US20100089426A1 (en) * 2005-10-28 2010-04-15 Phenis Michael T Dynamic multipurpose composition for the removal of photoresists and method for its use
US20100221503A1 (en) * 2008-06-24 2010-09-02 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
WO2010127942A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
WO2010127941A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
WO2010127943A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
US20110266494A1 (en) * 2004-04-29 2011-11-03 Soon Sung Yoo Stripper Solution and Method of Manufacturing Liquid Crystal Display Using the Same
EP2428541A1 (fr) 2010-09-08 2012-03-14 Basf Se Composition aqueuse de polissage et procédé de polissage mécanique chimique de substrats contenant des films diélectriques en oxyde de silicium et polysilicone
WO2012032469A1 (fr) 2010-09-08 2012-03-15 Basf Se Composition aqueuse de polissage et procédé de polissage chimico-mécanique de matériaux de substrat pour dispositifs optiques, mécaniques et électriques
WO2012032466A1 (fr) 2010-09-08 2012-03-15 Basf Se Compositions de polissage aqueuses contenant des dioxydes de diazénium n-substitués et/ou des sels d'oxyde de n'-hydroxy-diazénium
US8466035B2 (en) 2010-03-08 2013-06-18 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
US9028708B2 (en) 2009-11-30 2015-05-12 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
US9070632B2 (en) 2010-10-07 2015-06-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9223221B2 (en) 2012-03-16 2015-12-29 Basf Se Photoresist stripping and cleaning composition, method of its preparation and its use
US9275851B2 (en) 2011-03-21 2016-03-01 Basf Se Aqueous, nitrogen-free cleaning composition and its use for removing residues and contaminants from semiconductor substrates suitable for manufacturing microelectronic devices
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20160304815A1 (en) * 2015-04-20 2016-10-20 Intermolecular, Inc. Methods and chemical solutions for cleaning photomasks using quaternary ammonium hydroxides
US9496146B2 (en) 2011-03-11 2016-11-15 Basf Se Method for forming through-base wafer vias
US9524874B2 (en) 2010-12-10 2016-12-20 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
KR101799591B1 (ko) * 2010-05-19 2017-12-20 동우 화인켐 주식회사 전자재료용 세정액 조성물
US20180264492A1 (en) * 2013-08-27 2018-09-20 Tokyo Electron Limited Substrate processing method
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
KR20070060090A (ko) * 2004-09-17 2007-06-12 에프에스아이 인터내쇼날 인크. 오존을 이용한 웨이퍼 등의 물체 처리
JP4628209B2 (ja) * 2004-11-18 2011-02-09 花王株式会社 剥離剤組成物
CN100565337C (zh) * 2005-08-22 2009-12-02 昆明物理研究所 一种铂钛金属薄膜图形化方法
KR101285123B1 (ko) * 2005-08-25 2013-07-19 주식회사 동진쎄미켐 투명 전도막 및 레지스트 제거용 박리액 조성물
KR101221560B1 (ko) * 2005-09-02 2013-01-14 주식회사 동진쎄미켐 변성된 포토레지스트 제거를 위한 반도체 소자용 박리액조성물
TWI425324B (zh) * 2005-12-23 2014-02-01 Anji Microelectronics Co Ltd 可去除光阻層之組合物
KR100672752B1 (ko) * 2006-01-27 2007-01-22 주식회사 바맥스 포토레지스트 제거 방법 및 이를 수행하기 위한 장치
KR100849366B1 (ko) * 2006-08-24 2008-07-31 세메스 주식회사 기판을 처리하는 장치 및 방법
JP5018098B2 (ja) * 2007-01-19 2012-09-05 東ソー株式会社 配線工程用レジストの剥離方法
CN101632042B (zh) 2007-03-16 2012-06-13 三菱瓦斯化学株式会社 洗涤用组合物、半导体元件的制造方法
KR101463618B1 (ko) * 2008-01-14 2014-11-19 동우 화인켐 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 박리 방법
EP2166564B1 (fr) * 2008-09-19 2017-04-12 Imec Procédé de retrait d'une résine photosensible durcie d'un substrat semi-conducteur
US8334213B2 (en) * 2009-06-05 2012-12-18 Magic Technologies, Inc. Bottom electrode etching process in MRAM cell
KR20110028109A (ko) * 2009-09-11 2011-03-17 동우 화인켐 주식회사 세정액 조성물
CN102044405B (zh) * 2009-10-13 2012-08-08 中芯国际集成电路制造(上海)有限公司 多芯片封装体中芯片的分离方法
JP5702075B2 (ja) * 2010-03-26 2015-04-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅配線半導体用洗浄剤
JP5404459B2 (ja) * 2010-02-08 2014-01-29 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
FR2976290B1 (fr) 2011-06-09 2014-08-15 Jerome Daviot Composition de solutions et conditions d'utilisation permettant le retrait et la dissolution complete de resines photo-lithographiques
CN102254810A (zh) * 2011-07-05 2011-11-23 上海宏力半导体制造有限公司 半导体器件制备过程中光阻的去除方法
CN102427039A (zh) * 2011-11-02 2012-04-25 上海宏力半导体制造有限公司 光阻去除方法
KR101909773B1 (ko) * 2011-11-16 2018-10-18 필드 업그레이딩 리미티드 알칼리 금속 전도성 막을 사용한 석유 공급원료의 업그레이드 장치 및 방법
CN110225667B (zh) * 2013-09-11 2023-01-10 花王株式会社 树脂掩模层用洗涤剂组合物及电路基板的制造方法
CN104658899B (zh) * 2013-11-22 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种蚀刻栅极介电层的方法
US9085542B1 (en) 2014-06-12 2015-07-21 General Electric Company Method for synthesis of N-methyl piperazine diphenolamide and related composition
TWI595332B (zh) * 2014-08-05 2017-08-11 頎邦科技股份有限公司 光阻剝離方法
US10072237B2 (en) * 2015-08-05 2018-09-11 Versum Materials Us, Llc Photoresist cleaning composition used in photolithography and a method for treating substrate therewith
KR101711808B1 (ko) 2015-12-23 2017-03-06 한국원자력연구원 세슘화합물의 제거율이 높은 전해환원공정용 연료파편 제조 방법
WO2019024892A1 (fr) * 2017-08-03 2019-02-07 无锡华瑛微电子技术有限公司 Solution de décapage de photorésine et procédé de décapage de photorésine
KR102572755B1 (ko) * 2018-09-13 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
KR102572758B1 (ko) * 2018-09-17 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
CN113614647A (zh) * 2019-03-25 2021-11-05 松下知识产权经营株式会社 抗蚀剂剥离液
CN113448186B (zh) * 2020-03-27 2024-05-14 长鑫存储技术有限公司 晶圆处理装置及晶圆处理方法
US11378886B2 (en) * 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
KR102246300B1 (ko) * 2021-03-19 2021-04-30 제이엔에프 주식회사 반도체 및 디스플레이 제조공정용 세정제 조성물
CN113589662B (zh) * 2021-07-30 2022-07-12 浙江奥首材料科技有限公司 一种组合物、剥离液及其在剥离光刻胶或光刻胶残余物中的应用和剥离方法
CN115469516B (zh) * 2022-11-03 2023-03-24 江苏奥首材料科技有限公司 一种用于三五族半导体化合物光刻胶的剥离剂、其制备方法及用途

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US7157415B2 (en) * 1998-07-06 2007-01-02 Ekc Technology, Inc. Post etch cleaning composition for dual damascene system

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
JP3968535B2 (ja) * 1997-08-29 2007-08-29 三菱瓦斯化学株式会社 半導体素子の製造方法
JP3300643B2 (ja) 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
JP4120714B2 (ja) * 1998-02-10 2008-07-16 三菱瓦斯化学株式会社 半導体素子の製造方法
US6225030B1 (en) * 1998-03-03 2001-05-01 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating method for substrates
JP2000091269A (ja) 1998-09-10 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
JP3671389B2 (ja) * 1999-12-03 2005-07-13 三菱電機株式会社 基板処理方法および装置
KR100708491B1 (ko) * 1999-08-26 2007-04-16 브레우어 사이언스 인코포레이션 듀얼 다마신 공정을 위한 개선된 충전 조성물을 포함하는 기판구조체, 충전조성물의 도포방법, 충전조성물의 적합성 결정방법, 및 전구체 구조체
JP3298628B2 (ja) * 1999-09-10 2002-07-02 日本電気株式会社 半導体装置の製造方法
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
JP3615979B2 (ja) 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP3339575B2 (ja) * 2000-01-25 2002-10-28 日本電気株式会社 剥離剤組成物および剥離方法
JP2001290287A (ja) * 2000-04-10 2001-10-19 Mitsubishi Electric Corp フォトレジスト除去方法
KR100360985B1 (ko) 2000-04-26 2002-11-18 주식회사 동진쎄미켐 레지스트 스트리퍼 조성물
JP2001338978A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6410437B1 (en) 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
JP2002110788A (ja) * 2000-09-27 2002-04-12 Toshiba Corp 半導体装置およびその製造方法
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
CN100338530C (zh) * 2001-11-02 2007-09-19 三菱瓦斯化学株式会社 剥离抗蚀剂的方法
JP2003140364A (ja) * 2001-11-02 2003-05-14 Mitsubishi Gas Chem Co Inc 銅配線基板向けレジスト剥離液
US20030138737A1 (en) * 2001-12-27 2003-07-24 Kazumasa Wakiya Photoresist stripping solution and a method of stripping photoresists using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US7157415B2 (en) * 1998-07-06 2007-01-02 Ekc Technology, Inc. Post etch cleaning composition for dual damascene system
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364839B2 (en) * 2002-07-24 2008-04-29 Kabushiki Kaisha Toshiba Method for forming a pattern and substrate-processing apparatus
US20040131980A1 (en) * 2002-07-24 2004-07-08 Kei Hayasaki Method for forming a pattern and substrate-processing apparatus
US20060154839A1 (en) * 2003-08-19 2006-07-13 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
US7928046B2 (en) * 2003-08-19 2011-04-19 Avantor Performance Materials, Inc. Stripping and cleaning compositions for microelectronics
US20050048397A1 (en) * 2003-08-27 2005-03-03 Lg.Philips Lcd Co., Ltd. Composition and method for removing copper-compatible resist
US7384900B2 (en) * 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
US20110266494A1 (en) * 2004-04-29 2011-11-03 Soon Sung Yoo Stripper Solution and Method of Manufacturing Liquid Crystal Display Using the Same
US20100022426A1 (en) * 2005-05-12 2010-01-28 Shigeru Yokoi Photoresist stripping solution
US8114825B2 (en) * 2005-05-12 2012-02-14 Tokyo Ohka Kogyo Co., Ltd. Photoresist stripping solution
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20090186793A1 (en) * 2005-10-28 2009-07-23 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9243218B2 (en) 2005-10-28 2016-01-26 Dynaloy, Llc Dynamic multipurpose composition for the removal of photoresists and method for its use
US9069259B2 (en) 2005-10-28 2015-06-30 Dynaloy, Llc Dynamic multi-purpose compositions for the removal of photoresists and method for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
US20100089426A1 (en) * 2005-10-28 2010-04-15 Phenis Michael T Dynamic multipurpose composition for the removal of photoresists and method for its use
US20070111912A1 (en) * 2005-10-28 2007-05-17 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US8389454B2 (en) 2005-12-06 2013-03-05 Samsung Display Co., Ltd. Manufacturing and cleansing of thin film transistor panels
US20070129274A1 (en) * 2005-12-06 2007-06-07 Samsung Electronics Co., Ltd. Manufacturing and cleansing of thin film transistor panels
US20100099595A1 (en) * 2005-12-06 2010-04-22 Hong-Sick Park Manufacturing and cleansing of thin film transistor panels
US7658803B2 (en) * 2005-12-06 2010-02-09 Samsung Electronics Co., Ltd. Manufacturing and cleansing of thin film transistor panels
US8778217B2 (en) 2006-07-05 2014-07-15 Hitachi Chemical Company, Ltd. Polishing slurry for CMP, and polishing method
US20090209104A1 (en) * 2006-07-05 2009-08-20 Tadahiro Kimura Polishing slurry for cmp, and polishing method
US7655608B2 (en) 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
US20090036344A1 (en) * 2007-08-03 2009-02-05 Kimberly Dona Pollard Reduced metal etch rates using stripper solutions containing metal salts
US7851427B2 (en) 2007-08-03 2010-12-14 Dynaloy, Llc Compositions for reducing metal etch rates using stripper solutions containing copper salts
US20100137181A1 (en) * 2007-08-03 2010-06-03 Kimberly Dona Pollard Compositions for reducing metal etch rates using stripper solutions containing copper salts
US20090047609A1 (en) * 2007-08-15 2009-02-19 Atkinson John M Metal conservation with stripper solutions containing resorcinol
US8551682B2 (en) * 2007-08-15 2013-10-08 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
US9012387B2 (en) 2007-08-15 2015-04-21 Dynaloy, Llc Metal conservation with stripper solutions containing resorcinol
US20100221503A1 (en) * 2008-06-24 2010-09-02 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
US8440389B2 (en) 2008-06-24 2013-05-14 Dynaloy, Llc Stripper solutions effective for back-end-of-line operations
WO2010127943A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
WO2010127941A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
WO2010127942A1 (fr) 2009-05-07 2010-11-11 Basf Se Compositions de décapage de résine photosensible et procédés de fabrication de dispositifs électriques
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US9028708B2 (en) 2009-11-30 2015-05-12 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
US9476019B2 (en) 2010-01-29 2016-10-25 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
US8466035B2 (en) 2010-03-08 2013-06-18 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
US8906774B2 (en) 2010-03-08 2014-12-09 Dynaloy, Llc Methods and compositions for doping silicon substrates with molecular monolayers
KR101799591B1 (ko) * 2010-05-19 2017-12-20 동우 화인켐 주식회사 전자재료용 세정액 조성물
WO2012032469A1 (fr) 2010-09-08 2012-03-15 Basf Se Composition aqueuse de polissage et procédé de polissage chimico-mécanique de matériaux de substrat pour dispositifs optiques, mécaniques et électriques
EP2428541A1 (fr) 2010-09-08 2012-03-14 Basf Se Composition aqueuse de polissage et procédé de polissage mécanique chimique de substrats contenant des films diélectriques en oxyde de silicium et polysilicone
WO2012032466A1 (fr) 2010-09-08 2012-03-15 Basf Se Compositions de polissage aqueuses contenant des dioxydes de diazénium n-substitués et/ou des sels d'oxyde de n'-hydroxy-diazénium
US9070632B2 (en) 2010-10-07 2015-06-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US9524874B2 (en) 2010-12-10 2016-12-20 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
US9496146B2 (en) 2011-03-11 2016-11-15 Basf Se Method for forming through-base wafer vias
US9275851B2 (en) 2011-03-21 2016-03-01 Basf Se Aqueous, nitrogen-free cleaning composition and its use for removing residues and contaminants from semiconductor substrates suitable for manufacturing microelectronic devices
US9223221B2 (en) 2012-03-16 2015-12-29 Basf Se Photoresist stripping and cleaning composition, method of its preparation and its use
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US20180264492A1 (en) * 2013-08-27 2018-09-20 Tokyo Electron Limited Substrate processing method
US10835908B2 (en) * 2013-08-27 2020-11-17 Tokyo Electron Limited Substrate processing method
US20160304815A1 (en) * 2015-04-20 2016-10-20 Intermolecular, Inc. Methods and chemical solutions for cleaning photomasks using quaternary ammonium hydroxides
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device

Also Published As

Publication number Publication date
CN1650235A (zh) 2005-08-03
US20110000874A1 (en) 2011-01-06
KR100781925B1 (ko) 2007-12-04
AU2003235130A1 (en) 2003-11-10
US20080280452A1 (en) 2008-11-13
TW200307186A (en) 2003-12-01
KR20050006191A (ko) 2005-01-15
EP1550912A4 (fr) 2006-09-06
JP3516446B2 (ja) 2004-04-05
US8354215B2 (en) 2013-01-15
US20090291565A1 (en) 2009-11-26
TWI304525B (fr) 2008-12-21
US20070298619A1 (en) 2007-12-27
WO2003091806A1 (fr) 2003-11-06
JP2004029696A (ja) 2004-01-29
EP1550912A1 (fr) 2005-07-06

Similar Documents

Publication Publication Date Title
US8354215B2 (en) Method for stripping photoresist
US8697345B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
US20100056411A1 (en) Treating liquid for photoresist removal and method for treating substrate
US8192923B2 (en) Photoresist stripping solution and a method of stripping photoresists using the same
KR0173090B1 (ko) 포토레지스트용 박리액 조성물 및 이를 사용한 포토레지스트 박리 방법
US6638899B1 (en) Photoresist stripping solution and a method of stripping photoresists with the same
US20060035176A1 (en) Photoresist stripping solution and a method of stripping photoresists using the same
JP3514435B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP3738992B2 (ja) ホトレジスト用剥離液
US20070105035A1 (en) Photoresist stripping solution and method of treating substrate with the same
JP3976160B2 (ja) アッシング後の処理液およびこれを用いた処理方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO OHKA KOGYO CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YOKOI, SHIGERU;WAKIYA, KAZUMASA;HARAGUCHI, TAKAYUKI;REEL/FRAME:016552/0145

Effective date: 20041129

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION