TWI751423B - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TWI751423B
TWI751423B TW108121957A TW108121957A TWI751423B TW I751423 B TWI751423 B TW I751423B TW 108121957 A TW108121957 A TW 108121957A TW 108121957 A TW108121957 A TW 108121957A TW I751423 B TWI751423 B TW I751423B
Authority
TW
Taiwan
Prior art keywords
gas
film
frequency power
plasma
sample
Prior art date
Application number
TW108121957A
Other languages
English (en)
Other versions
TW202017036A (zh
Inventor
岩瀬拓
荒瀬高男
寺倉聡志
渡邊勇人
森政士
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202017036A publication Critical patent/TW202017036A/zh
Application granted granted Critical
Publication of TWI751423B publication Critical patent/TWI751423B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

為了實現改善尖細形狀且抑制蝕刻率降低的電漿蝕刻方法,於一種電漿處理裝置,其為具備樣品被電漿處理的處理室、供應為了生成電漿用的高頻電力的第一高頻電源、載置樣品的樣品台、及對樣品台供應高頻電力的第二高頻電源者,被構成為具備一控制部,該控制部將第一高頻電源與第二高頻電源控制為,利用由溴化氫氣體、氫氟碳化合物氣體、及含氮氣體的混合氣體生成的電漿而蝕刻交替層積矽氧化膜與多晶矽的層積膜或交替層積矽氧化膜與矽氮化膜的層積膜。

Description

電漿處理裝置及電漿處理方法
本發明涉及電漿處理裝置及電漿處理方法,尤其涉及進行在對縱橫比高的深孔或深溝進行加工時合適的蝕刻加工處理的電漿處理裝置及電漿處理方法。
半導體裝置方面被製造具有3維構造者,被形成的圖案的微細化與多層化正在進展。
例如,在3維構造的NAND型快閃記憶體裝置,需要形成將層積多數對多晶矽(poly-Si)膜與氧化矽(SiO2 )膜、或氮化矽(SiN)膜與氧化矽(SiO2 )膜之對的層積膜貫通的導孔或溝槽。
於專利文獻1,已記載以包含三氟化氮(NF3 )與氟甲烷(CH3 F)的氣體加工SiO2 /(poly-Si or SiN or WSi)層積膜的技術。
此外於專利文獻2,已記載在晶圓的溫度為-30℃以下的極低溫環境化下,利用包含氫(H2 )/四氟化碳(CF4 )/三氟甲烷(CHF3 )的處理氣體作為第1處理氣體而蝕刻矽氧化膜與矽氮化膜的層積膜,利用包含氫(H2 )/四氟化碳(CF4 )/三氟甲烷(CHF3 )/溴化氫(HBr)的處理氣體作為第2處理氣體而蝕刻矽氧化膜與矽氮化膜的層積膜。 [先前技術文獻] [專利文獻]
[專利文獻1] 日本特開2015-144158號公報 [專利文獻2] 日本特開2017-103388號公報
[發明所欲解決之問題]
伴隨積體化使得3維構造裝置的層積數增加時,相對於將層積膜貫通的深孔或深溝的開口程度之深度的比(縱橫比)增大。伴隨縱橫比增大,會發生蝕刻率的降低、成為尖細形狀如此的問題。亦即,可謂難以獲得一定以上的縱橫比。專利文獻1、2皆已揭示改善尖細形狀的方法,惟並未考量有關蝕刻率降低。縱橫比越高則蝕刻率越降低導致要獲得高的縱橫比的加工形狀時加工時間指數函數地增加,電漿處理的處理量極度不良化。
在本發明,提供一種電漿處理裝置及電漿處理方法,實現解決上述之現有技術的課題而改善尖細形狀,且抑制蝕刻率降低的電漿蝕刻方法。 [解決問題之技術手段]
為了解決上述的課題,在本發明,於一種電漿處理方法,其為利用電漿而蝕刻第一層積膜或第二層積膜者,前述第一層積膜為交替層積第一矽氧化膜與多晶矽者,前述第二層積膜為交替層積矽氧化膜與矽氮化膜者,利用溴化氫氣體、氫氟碳化合物氣體、及含氮氣體的混合氣體而蝕刻第一層積膜或第二層積膜。
另外,為了解決上述的課題,在本發明,於一種電漿處理方法,其為利用電漿而蝕刻交替層積矽氧化膜與矽氮化膜的層積膜者,利用溴化氫氣體、氟甲烷(CH3 F)氣體、及氮氣的混合氣體而蝕刻層積膜。
再者,為了解決上述的課題,在本發明,於一種電漿處理方法,其為利用電漿而蝕刻第一層積膜或第二層積膜者,前述第一層積膜為交替層積第一矽氧化膜與多晶矽者,前述第二層積膜為交替層積矽氧化膜與矽氮化膜者,利用氟甲烷(CH3 F)氣體、氮氣、及氯氣的混合氣體、或氟甲烷(CH3 F)氣體、氮氣、及三氯化硼(BCl3 )氣體的混合氣體而蝕刻第一層積膜或第二層積膜。
再且,為了解決上述的課題,在本發明,於一種電漿處理方法,其為利用電漿而蝕刻交替層積矽氧化膜與金屬膜的層積膜者,利用溴化氫氣體、氟甲烷(CH3 F)氣體、及含氮氣體的混合氣體而蝕刻層積膜。
再且,為了解決上述的課題,在本發明,於一種電漿處理裝置,其為具備樣品被電漿處理的處理室、供應為了生成電漿用的高頻電力的第一高頻電源、載置樣品的樣品台、及對樣品台供應高頻電力的第二高頻電源者,被構成為具備一控制部,該控制部將第一高頻電源與第二高頻電源控制為,利用由溴化氫氣體、氫氟碳化合物氣體、及含氮氣體的混合氣體生成的電漿而蝕刻交替層積矽氧化膜與多晶矽的層積膜或交替層積矽氧化膜與矽氮化膜的層積膜。
再且,為了解決上述的課題,在本發明,於一種電漿處理裝置,其為具備以下者:處理室;上部電極,其在該處理室的內部配置於上方;樣品台,其與該上部電極相向而在處理室的內部配置於下方而載置被處理樣品;氣體供應部,其對處理室的內部供應蝕刻氣體;高頻電力施加部,其對上部電極施加高頻電力;溫度計測部,其計測樣品台的溫度;冷卻氣體供應部,其對載置於樣品台的被處理樣品與樣品台之間供應冷卻氣體;冷媒流通部,其使被溫度控制的冷媒流通於形成在樣品台的內部的流道;和控制部,其控制供氣部、高頻電力施加部、冷卻氣體供應部、及冷媒流通部;控制部被構成為,在控制氣體供應部與高頻電力施加部而使電漿產生於處理室的內部而對載置於樣品台的被處理樣品進行電漿蝕刻處理時,根據以溫度計測部計測的樣品台的溫度資訊,從預先記憶的樣品台的溫度與載置於樣品台的被處理樣品的溫度的關係,控制冷卻氣體供應部與冷媒流通部而將電漿蝕刻處理中的被處理樣品的溫度維持為預先設定的溫度,氣體供應部是作為蝕刻氣體而將一混合氣體供應至處理室的內部,該混合氣體為在以控制部控制而維持為預先設定的溫度的被處理樣品的表面形成具有促進被處理樣品的電漿蝕刻處理的功效的化合物者。 [對照先前技術之功效]
依本發明時,在對於含矽膜與矽氧化膜的層積膜透過電漿蝕刻處理形成高縱橫比的深孔或深溝的程序中,可抑制蝕刻率降低,可使加工處理量提升。再者,可將深孔或深溝的剖面形狀垂直化。
本發明是在使電漿產生於處理室內的狀態下,使附著於樣品的層積膜的表面的氣體予以反應而在層積膜的表面形成對於構成層積膜的個別的膜的蝕刻有均等作用的化合物,以一次的程序在層積膜形成深孔圖案。
本發明涉及一種電漿處理裝置及電漿蝕刻方法,在對形成於半導體裝置的多層的層積膜透過電漿蝕刻處理形成高縱橫比的深孔或深溝的程序中,抑制蝕刻率降低,且將使加工處理量提升的電漿蝕刻處理可能化。
本發明在對形成於半導體裝置的多層的層積膜透過電漿蝕刻處理形成高縱橫比的深孔或深溝的程序中,在電漿蝕刻處理中溫度被控制的層積膜的表面,生成以蝕刻處理氣體為成分的化合物且促進層積膜的蝕刻的化合物。透過對此生成的化合物進行電漿蝕刻,從而可使層積膜的蝕刻率,相對於構成層積膜的個別的膜同等地提升,同時至深孔的底為止確實進行蝕刻加工。據此,能以一次的處理程序,對層積膜確實地進行蝕刻加工。
在將本發明適用於3-D NAND快閃記憶體裝置的製程的情況下,在利用包含HBr/CH3 F/含氮氣體(氮(N2 )或氨(NH3 )等)的混合氣體的電漿而進行電漿蝕刻處理的程序中,在交替層積矽氧化膜/(矽氮化膜或矽)且溫度被控制的膜的表面在電漿中形成溴化銨的化合物,從而可將高縱橫比的深孔或深溝形成為,抑制蝕刻率的降低,同時使剖面形狀大致垂直化。
於以下,就本發明的實施例,利用圖式進行說明。 [實施例1]
圖1為示意性就本發明之實施例相關的電漿處理裝置100之構成的概略進行繪示之縱剖面圖。
圖1相關的電漿處理裝置100為利用屬螺線管線圈的電磁線圈1下的有磁場平行平板型的電漿處理裝置。本實施例的電漿處理裝置100具有真空容器10,並形成有處理室40,該處理室40為該真空容器10內部的空間,被載置作為處理對象的樣品,且被供應處理用的氣體而在內部形成電漿。再者,電漿處理裝置100具備:電漿形成部50,其配置於真空容器10之上方,作為生成供於在處理室40的內部形成電漿用的電場或磁場的手段;包含渦輪分子泵浦等的真空泵浦的排氣部45,其與真空容器10的下部連結,將處理室40的內部進行排氣而減壓;控制部70,其控制整體。
在真空容器10的處理室40的內部,具備配置於其下方的圓筒形的樣品台2,此樣品台2之上表面形成載置面141,該載置面在其上載置半導體晶圓等的基板狀的被處理樣品3(以下,記為樣品3)。在此載置面141之上方,設置圓板形狀之上部電極4,該上部電極被與該載置面141相向而配置,被供應供於形成電漿用的高頻電力。此外,被配置圓板狀的噴灑板5,該噴灑板配置為在此上部電極4的樣品3側與樣品台2的載置面141相向,同時構成處理室40的頂面,並具備複數個使氣體分散而供應於該處理室40之內部的貫通孔51。
噴灑板5與配置於其上方的作為天線的上部電極4在此等被安裝於真空容器10的狀態下,在此等之間形成間隙41。往間隙41,從與此連結的與真空容器10的外部的氣體供應部6連接的氣體導入線路6經由施於上部電極4內的氣體流路而導入氣體。氣體供應部60具備與供應的氣體的種類對應的複數個質流控制器61,個別的質流控制器61與未圖示的儲氣瓶連接。供應至間隙41的氣體在間隙41的內部被分散後,通過配置於噴灑板5之側之包含中央部的區域的複數個貫通孔51而供應至處理室40的內部。
從氣體供應部60,通過此複數個貫通孔51而供應至處理室40的內部的氣體方面,包含用於樣品3的處理的處理用的氣體或雖不直接用於處理惟將處理用的氣體稀釋或在未供應處理用的氣體的期間供應至處理室40的內部而與處理用的氣體更換的惰性氣體等。
於上部電極4的內部,形成上部電極用冷媒流道7。於此上部電極用冷媒流道7連接冷媒供應線路71,該冷媒供應線路與將冷媒的溫度調節為既定的範圍的冷卻器等的溫度控制裝置(未圖示)連結。溫度被調節為既定的範圍的冷媒被經由冷媒供應線路71從溫度控制裝置(未圖示)供應而循環於上部電極用冷媒流道7的內部,從而被熱交換而使上部電極4的溫度被調節為適切於處理的值的範圍內。
此外,上部電極4以屬導電性材料的鋁或不銹鋼等形成的圓板狀的構材而形成,在其上表面之中央部電性連接被傳達電漿形成用的高頻電力的同軸電纜91。於上部電極4,被從經由同軸電纜91與其電性連接的放電用高頻電源8(以下,記為高頻電源8),經由放電用高頻電力整合器9供應電漿形成用的高頻電力,電場從上部電極4的表面穿透噴灑板5而放出至處理室40的內部。在本實施例,從高頻電源8施加於上部電極4的電漿形成用的高頻電力方面,採用屬超高頻帶(VHF帶)域的頻率的200MHz的電力。
再者,在真空容器10的外部,在包圍處理室40之上部之上方與側方的位置,配置電磁線圈1。透過此電磁線圈1而產生的磁場形成於處理室40的內部。
噴灑板5以石英等的介電體、矽等的半導體而構成。據此,在從高頻電源8施加電漿形成用的高頻電力於上部電極4的狀態下,透過上部電極4而形成的電場可穿透噴灑板5。
此外,上部電極4透過配置於其上方、側方而以石英、聚四氟乙烯(註冊商標)等的介電體而構成的環狀之上部電極絕緣體12,被與真空容器10電性絕緣。同樣,於噴灑板5的周圍,配置以石英等的介電體而構成的絕緣環13,噴灑板5與真空容器10絕緣。此等上部電極絕緣體12、絕緣環13、上部電極4、噴灑板5固定於構成真空容器10之上部的蓋體構材(省略圖示),在蓋體構材的開閉的動作之際與蓋體構材作為一體而轉動。
具有圓筒形的真空容器10其側壁與屬未圖示的真空容器且樣品3在減壓的內部被搬送的搬送容器連結,在此等之間,配置作為樣品3進出入的通路的開口的閘門;配置一閘閥,其在真空容器10內部進行樣品3的處理的情況下,將閘門閉塞而氣密地密封真空容器10內部。
在屬處理室40的內部的樣品台2的下方的真空容器10的下部,配置與將處理室40的內部進行排氣的排氣部45連通的排氣用的開口42。在此排氣用的開口42與排氣部45的未圖式的真空泵浦之間將此等連結的排氣的路徑43的內部,配置屬板狀的閥之壓力調整閥44。此壓力調整閥44為橫切排氣的路徑43的剖面而被配置的板狀的閥,此板狀的閥繞軸進行旋轉而使相對於流道之截面積增減。
以控制部70調節壓力調整閥44的旋轉的角度,從而可將來自處理室40的排氣的流量或速度進行增減。處理室40的內部的壓力透過從噴灑板5的貫通孔51供應的氣體的流量或速度與從排氣用的開口42朝排氣部45之側排出的氣體、粒子的流量或速度的平衡,以成為期望的值的範圍內的方式,透過控制部70進行調節。
接著,就樣品台2的周邊的構造進行說明。本實施例的樣品台2為配置於處理室40的下方之中央部的圓筒狀的載台,在其內部具備圓筒形或圓板形狀的金屬製的基材2a。
本實施例的基材2a透過包含同軸電纜的供電路徑28,與偏壓用高頻電源20經由配置於該供電路徑28上的偏壓用高頻電力整合器21而電性連接。從偏壓用高頻電源20施加至基材2a的偏壓用高頻電力,與從高頻電源8施加至上部電極4的電漿生成用高頻電力為不同的頻率(本例為4MHz)。此外,於供電路徑28上,配置電阻或線圈等的元件32,該元件32與接地的偏壓用高頻電力整合器21及偏壓用高頻電源20連接。
在從高頻電源8對上部電極4施加電漿生成用高頻電力而使電漿11產生於樣品台2與噴灑板5之間的狀態下,從偏壓用高頻電源20對基材2a供應高頻電力,從而在基材2a產生偏壓電位。透過此偏壓電位,電漿11中的離子等的帶電粒子被誘導至樣品3之上表面或載置面141。亦即,基材2a在上部電極4的下方,作用為被施加偏壓用高頻電力的下部電極。
另外,在基材2a的內部,多層的同心狀或螺旋狀地配置冷媒流路19,該冷媒流路用於使透過冷卻器等的溫度控制裝置191而調節為既定的溫度的冷媒進行循環而流通。
於基材2a之上表面,配置靜電吸附膜14。靜電吸附膜14以氧化鋁或氧化釔等的介電體的材料而形成,在其內部,內置被供應供於將樣品3予以靜電吸附用的直流電力的鎢電極15。在鎢電極15的背面,連接被貫通基材2a而配置的供電路徑27。鎢電極15透過此供電路徑27,經由電阻或線圈等的元件32及被接地的低通濾波器(低通濾波器)16而與直流電源17電性連接。
本實施例的直流電源17及偏壓用高頻電源20是其一端側的端子接地或電性連接於接地端。
阻礙更高的頻率的電流的流動而濾波(filtering)的低通濾波器16及偏壓用高頻電力整合器21是為了抑制來自高頻電源8的電漿形成用的高頻電力流入於直流電源17及偏壓用高頻電源20而配置。
來自直流電源17的直流電力或來自偏壓用高頻電源20的高頻電力在無損耗之下分別被供應至靜電吸附膜14及樣品台2;從樣品台2側流入至直流電源17及偏壓用高頻電源20的電漿形成用的高頻電力則經由低通濾波器16或偏壓用高頻電力整合器21而流至接地端。另外,於圖1中的來自偏壓用高頻電源20的供電路徑28上,雖未圖示低通濾波器16,惟具有同樣的功效的電路內置於圖示的偏壓用高頻電力整合器21內。
在如此之構成方面,從樣品台2視看直流電源17及偏壓用高頻電源20側的情況下的來自高頻電源8的電力的阻抗相對低。在本實施例,將提高電阻或線圈等的阻抗的元件32,在供電路徑上配置為插入於電極與低通濾波器16及偏壓用高頻電力整合器21之間,從而使從樣品台2的基材2a側視看直流電源17或偏壓用高頻電源20側時的電漿形成用的高頻電力的阻抗為高(本實施例為100Ω以上)。
示於圖1的實施例具備複數個配置於靜電吸附膜14的內部的鎢電極15,進行兩極性的靜電吸附,該兩極性的靜電吸附為以複數個鎢電極之中的一方與另一方具有不同的極性的方式供應直流電壓者。為此,形成載置面141的靜電吸附膜14被將與樣品3接觸之面的面積進行2等分,或分為鎢電極15以近似於視為其之程度的範圍內的值具有不同的極性的2個區域,被供應個別獨立的值的直流電力,維持為不同的值的電壓。
在被靜電吸附而接觸的靜電吸附膜14與樣品3的背面之間,被經由配管181從氦供應手段18供應氦氣。藉此,樣品3與靜電吸附膜14之間的傳熱的效率提升,可增加與基材2a的內部的冷媒流道19的熱的交換量,提高調節樣品3的溫度的效率。
在基材2a的下方,配置以聚四氟乙烯(註冊商標)等而形成的圓板狀的絕緣板22。藉此,接地或與接地端電性連接而設為接地電位的基材2a與構成下方的處理室40的構材電性絕緣。再者,在基材2a之側面的周圍,氧化鋁等的介電體製的環狀的絕緣層23被配置為包圍基材2a。
在基材2a的下方與其連接而配置的絕緣板22的周圍、及在配置為在其上方包圍基材2a的絕緣層23的周圍,配置由接地或與接地端電性連接而設為接地電位的導電性材料所構成的導電板29。導電板29為從上方視看時具有圓形或近似於視為其之程度的形狀的板構材。絕緣層23介於導電板29與基材2a之間,導電板29與基材2a被電性絕緣。
在環狀的絕緣層23之上方,配置以石英等的介電體或矽等的半導體而構成的基座環25。基座環25配置於樣品3的周圍,以基座環25與絕緣層23覆蓋基材2a,從而控制樣品3的外端部周邊的反應生成物的分布,進行處理性能的均勻化。
如此般,樣品台2被構成為具備:基材2a、在內部具備鎢電極15的靜電吸附膜14、載置基材2a而將基材2a與真空容器10之間電性絕緣的絕緣板22、以絕緣材料而形成並包圍基材2a的周圍的絕緣層23、覆蓋基材2a之上表面與靜電吸附膜14之側面的基座環25、及覆蓋絕緣板22的外周部與絕緣層23的外周部的導電板29。
在基座環25的外周側,安裝以與基座環25相接的方式而配置的同心圓狀的板狀的遮蔽板24。遮蔽板24用於防止形成於處理室40的內部的電漿11的產生區域擴大至樣品台2之側面,予以偏於樣品台2之上部,可謂是為了封住而配置者。於板狀的遮蔽板24,為了使氣體、粒子通過於上下方向,形成複數個孔241。
於基材2a嵌入溫度計測器35,計測基材2a的溫度。於樣品3的表面設置未圖示的溫度計測器的狀態下,以未圖示的加熱手段將樣品3加熱而使樣品3的溫度變化,將以當時的未圖示的溫度計測器計測的樣品3的表面溫度與以嵌入於基材2a的溫度計測器35計測的基材2a的溫度的關係預先資料庫化而記憶。在使電漿11產生於處理室40的內部而實際處理樣品3時參照此資料庫,從而可從以嵌入於基材2a的溫度計測器35計測的基材2a的溫度,推定電漿處理中的樣品3的溫度。
接著,就利用上述的電漿處理裝置100實施處理的樣品3進行說明。
進行電漿處理前的樣品3如將其剖面的一部分示於圖2般,在矽晶圓基板201上具備50~200nm的阻擋膜202,在其上具備交替層積膜205,該交替層積膜為交替層積n組30~100nm的多晶矽(poly-Si)膜203與30~100nm的矽氧化(SiO2 )膜204,在其上具備以碳等構成的300~1000nm的硬遮罩膜206,在其上具備50~300nm的抗反射膜207,在最上部具備抗蝕膜208。
在抗蝕膜208,以等間距形成多數個孔圖案209。孔圖案209的徑長為100nm,作成孔間距200nm的孔圖案。
對如示於圖2的樣品3,對處理室40的內部導入三氟甲烷(CHF3 )氣體而予以產生電漿,對以抗蝕膜208遮蔽的抗反射膜207進行蝕刻處理,從而將形成於抗蝕膜208的孔圖案209轉印於抗反射膜207而如示於圖3般,在抗反射膜207形成孔圖案210。
接著,將導入處理室40的內部的氣體種類切換為氧(O2 )氣體,在被供應O2 氣體的處理室的內部予以產生電漿,從而對以抗反射膜207遮蔽的硬遮罩膜206進行蝕刻處理。據此,在以抗反射膜207遮蔽的硬遮罩膜206,被轉印形成於抗反射膜207的孔圖案210,如示於圖4,在硬遮罩膜206形成孔圖案211。
接著,將導入處理室40的內部的氣體種類,切換成為了對交替層積n組多晶矽(poly-Si)膜203與矽氧化(SiO2 )膜204的交替層積膜205進行蝕刻處理用的處理氣體,在被供應此處理氣體的處理室的內部予以產生電漿,從而對以硬遮罩膜206遮蔽的交替層積膜205進行蝕刻處理。此時,根據以溫度計測器35計測的基材2a的溫度,以樣品3的溫度成為20℃的方式控制氦供應手段18與溫度控制裝置191。據此,在以硬遮罩膜206遮蔽的交替層積膜205,轉印形成於硬遮罩膜206的孔圖案211,如示於圖5,在交替層積膜205形成到達阻擋膜202的孔圖案212。
在本實施例中的交替層積膜205的電漿蝕刻處理,處理氣體方面,使用至少溴化氫(HBr)氣體、氟甲烷(CH3 F)氣體、氮(N2 )氣體。以控制部70控制處理室40的內部的壓力調整閥44而將處理壓力設定於1~8Pa之間,將從偏壓用高頻電源20施加於樣品台2的基材2a的晶圓偏壓用高頻電力設定於1000~4000W之間,將從高頻電源8施加於上部電極4的電漿形成用高頻電力設定於400~1500W之間。
將利用在上述說明的電漿處理裝置100將孔圖案(貫通孔)212形成於交替層積膜205的處理流程圖,示於圖6。
將孔圖案(貫通Via)212形成於交替層積膜205的處理是首先在電漿處理裝置100的處理室40內部的樣品台2設置作為樣品3的晶圓(S601)。於此,在作為樣品3的晶圓,形成如在圖2顯示其剖面的多層的膜,在表面,於抗蝕膜208,形成多數個微細的孔圖案209。
接著,以控制部70控制氣體供應部60,作為為了對形成於樣品3的抗反射膜207進行蝕刻用的蝕刻氣體,將三氟甲烷(CHF3 )氣體從氣體導入線路6供應至上部電極4與噴灑板5之間的間隙41,通過形成於噴灑板5的多數個貫通孔51而導入處理室40的內部(S602)。導入處理室40的內部的CHF3 氣體通過排氣用的開口42,被從排氣部45往處理室40的外部排出。此時,CHF3 氣體的排氣量被透過以控制部70控制的壓力調整閥44的開度而調整。
接著,以控制部70控制直流電源17,對鎢電極15施加直流電壓而在靜電吸附膜14的表面予以產生靜電力,使設置於樣品台2的樣品3吸附於樣品台2的表面的靜電吸附膜14。此狀態下以控制部70控制氦供應手段18,從配管181對予以吸附於靜電吸附膜14的樣品3的背面供應氦氣。
接著,以控制部70控制未圖示的直流電源,在使直流電流流於電磁線圈1而在處理室40予以產生包含內部的區域的磁場的狀態下,以控制部70控制高頻電源8而對上部電極4施加高頻電力,在處理室的內部予以產生CHF3 氣體產生的電漿11,對以樣品3的表面的抗蝕膜208遮蔽的抗反射膜207進行蝕刻處理(S603)。此時,以控制部70控制偏壓用高頻電源20,對樣品台2的基材2a施加高頻電力,予以產生偏壓電位於基材2a,從電漿11中誘導至樣品3的離子的能量被控制。
進行蝕刻既定的時間後,以控制部70控制高頻電源8,停止往上部電極4的高頻電力的施加,同時控制偏壓用高頻電源20,停止往樣品台2的基材2a的高頻電力的施加,停止在處理室40的內部的電漿11的生成。此狀態下以控制部70控制氣體供應部60,停止來自氣體導入線路6的CHF3 氣體的供應。
接著,以控制部70控制氣體供應部60,作為硬遮罩膜206的蝕刻用氣體,將包括O2 氣體的蝕刻氣體,從氣體導入線路6供應至上部電極4與噴灑板5之間的間隙41,通過形成於噴灑板5的多數個貫通孔51而導入處理室40的內部(S604)。此時,以控制部70調節壓力調整閥44的旋轉的角度,使來自處理室40的排氣的流量或速度增減,將處理室40內部設定為既定的壓力。
在此狀態下,對電磁線圈1從未圖示的直流電源施加電壓而予以激發,使磁場產生於處理室40的內部,從高頻電源8對上部電極4施加高頻電力,從而在處理室40的內部予以產生包括O2 氣體的蝕刻氣體產生的電漿11。另一方面,在樣品台2之側,從偏壓用高頻電源20對基材2a施加偏壓用高頻電力。
如此般,在使電漿11產生於被供應包括O2 氣體的蝕刻氣體的處理室40的內部的狀態下對樣品3進行電漿蝕刻處理(S605),從而如示於圖4般使形成於抗反射膜207的孔圖案210轉印於硬遮罩膜206,在硬遮罩膜206形成孔圖案211。
在抗反射膜207的孔圖案210被轉印於硬遮罩膜206而在硬遮罩膜206形成孔圖案211的狀態下,停止從高頻電源8往上部電極4的高頻電力的施加、和從偏壓用高頻電源20往基材2a的偏壓用高頻電力的施加,同時停止從氣體導入線路6的包括O2 氣體的蝕刻氣體的供應。
接著,以控制部70控制氣體供應部60,將為了對交替層積n組多晶矽(poly-Si)膜203與矽氧化(SiO2 )膜204的交替層積膜205進行加工用的處理氣體(處理氣體)從氣體導入線路6導入上部電極4內而供應至處理室40的內部(S606)。此時,以控制部70調節壓力調整閥44的旋轉的角度,使來自處理室40的排氣的流量或速度增減,將處理室40內部設定為既定的壓力。處理氣體方面,使用至少包含溴化氫(HBr)氣體、氟甲烷(CH3 F)氣體、氮(N2 )氣體的混合氣體。
另外,根據以溫度計測器35計測的基材2a的溫度,根據記憶於資料庫的以溫度計測器35計測的基材2a的溫度與樣品3的溫度的關係,以控制部70控制氦供應手段18與溫度控制裝置191(S607),調整從配管181供應至樣品3與靜電吸附膜14之間的氦氣的流量及流於基材2a內部的冷媒流道19的冷媒的溫度及流量,使將交替層積膜205進行電漿蝕刻處理中的樣品3的溫度,維持於20℃。
在此狀態下,對電磁線圈1從未圖示的直流電源施加電壓而予以激發,使磁場產生於處理室40的內部,從高頻電源8對上部電極4施加高頻電力,從而使電漿11產生於處理室40的內部。另一方面,在樣品台2之側,從偏壓用高頻電源20對基材2a施加偏壓用高頻電力。此時,以控制部70控制壓力調整閥44,將在處理室40的內部的處理壓力設定於1~8Pa之間,將從偏壓用高頻電源20施加於樣品台2的基材2a的晶圓偏壓用高頻電力設定於1000~4000W之間,將從高頻電源8施加於上部電極4的電漿形成用高頻電力設定於400~1500W之間。
如此般,在予以產生電漿11於被供應處理氣體(處理氣體)的處理室40的內部的狀態下對樣品3進行電漿蝕刻處理(S608),從而將形成於如示於圖5的硬遮罩膜206的孔圖案211轉印於交替層積膜205,在交替層積膜205,形成到達阻擋膜202的孔圖案212。
從偏壓用高頻電源20施加於樣品台2的基材2a的晶圓偏壓用高頻電力與從高頻電源8施加於上部電極4的電漿形成用高頻電力是分別作為連續波或脈衝波而施加,對樣品3進行電漿蝕刻,以到達阻擋膜202的程度的處理時間而形成孔圖案(貫通Via)212。
在本實施例中的交替層積膜205的電漿蝕刻處理,處理氣體方面,使用至少溴化氫(HBr)氣體、氟甲烷(CH3 F)氣體、氮(N2 )氣體。以控制部70控制處理室40的內部的壓力調整閥44而將處理壓力設定於1~8Pa之間,將從偏壓用高頻電源20施加於樣品台2的基材2a的晶圓偏壓用高頻電力設定於1000~4000W之間,將從高頻電源8施加於上部電極4的電漿形成用高頻電力設定於400~1500W之間。
可依序執行在上述說明的各處理,從而對在表面形成抗蝕膜208的孔圖案209的具有如示於圖2的剖面形狀的樣品3,加工出如示於圖5的孔圖案(貫通Via)212。
作為比較例1,使本發明的實施例的樣品3的溫度為40℃而同樣地進行電漿蝕刻。
作為比較例2,使本發明的實施例的樣品3的溫度為60℃而同樣地進行電漿蝕刻。
作為比較例3,使本發明的實施例的樣品3的溫度為80℃而同樣地進行電漿蝕刻。將比較例3的蝕刻後的樣品3的剖面示於圖7。
比較圖5與圖7時,確證圖5的實施例1的孔圖案(貫通Via)212之側壁213是垂直度顯著高於圖7的比較例3的孔圖案(貫通Via)222之側壁223,且蝕刻深度大。
此結果可解釋如下。在處理室40的內部,對包含HBr、CH3 F、及含氮氣體的處理氣體(process gas)從上部電極4施加高頻電力而形成電漿11時,在電漿11中,生成氮、氫、溴的自由基。於此狀態下,在樣品3的表面冷卻至20℃程度的低溫的情況下,在電漿11中生成的自由基之中附著於樣品3的表面的自由基在樣品3的表面作為化合物形成NH4 Br(溴化銨)。形成於樣品3的表面的作為化合物的溴化銨具有促進交替層積膜205的蝕刻的功效,故蝕刻率提升。
另外,於本實施例,作為化合物的溴化銨的形成並非在氣相中,而是在樣品3的表面進行,故可形成化合物至在正在形成於交替層積膜205時的孔圖案212的微細構造的底部。因此,溴化銨的形成量相對於孔徑的深度的比亦即相對於縱橫比的依存性小,故在蝕刻的過程即使孔變深,蝕刻率仍不易降低。
亦即,在處理室40的內部在使包含HBr氣體、N2 氣體、CH3 F氣體的混合氣體以0.4~20Pa的處理壓力形成電漿之際,控制載台溫度而將晶圓溫度保持為20℃以下,使得從供應至處理室40的混合氣體在樣品3的表面形成溴化銨。
以此方法形成的溴化銨具有沉積至深孔或深溝的底部而堆積的性質,且作用為被蝕刻膜的蝕刻劑,故導孔或溝槽的蝕刻進行,變深(縱橫比變高),蝕刻率的降低仍小。另外,促進底部的蝕刻,使得比起未形成溴化銨的條件,剖面形狀較垂直化。
亦即,在電漿處理中將溴化銨形成於樣品3的表面,在電漿處理中積極利用形成於此表面的溴化銨而進行蝕刻加工,使得可實現蝕刻率降低的抑制及剖面形狀的垂直化。
於圖8顯示相對於實施例1及比較例1~3的孔的縱橫比之蝕刻率的關係。確證比較例1~3是隨著縱橫比的增大而蝕刻率降低,惟實施例1是即使縱橫比增大仍保持蝕刻率。比較例1~3將樣品3的溫度設定為比實施例1高。如此般將樣品3的溫度設定為高時,已知在樣品3的表面不易形成溴化銨,溴化銨的形成對於蝕刻率造成影響。
示於圖8的資料顯示使樣品3的溫度在從20℃至80℃之間予以變化的情況下的資料,惟將樣品3的溫度設定為-20℃程度亦可獲得與本實施例同等的功效。
將樣品3的溫度設定為比-20℃低的情況下,形成於交替層積膜205的孔圖案209之側蝕進行,孔圖案209的剖面形狀成為膨脹的內凹形狀,孔圖案209的形狀精度恐降低。因此,將交替層積膜205形成於孔圖案209的情況下的樣品3的溫度設定於-20℃~20℃之間為佳。
另外,於上述說明的實施例中,雖示出將形成於抗蝕膜208的孔圖案209轉印於交替層積膜205之例,惟亦可代替孔圖案209為溝圖案。
另外,於上述的實施例,雖就交替層積多晶矽膜203與矽氧化膜204而形成交替層積膜205的情況進行說明,惟交替層積矽氧化膜與矽氮化膜(Si3 N4 )、矽化鎢(WSi)或矽氧化氮化膜(SiON)而形成的層積膜、或將矽氧化膜與鎢(W)、鉬(Mo)、鎳(Ni)、鈷(Co)、釕(Ru)等的金屬的薄膜交替層積而形成的層積膜方面亦可同樣地進行蝕刻處理,從而形成到達阻擋膜202的微細的貫通孔。
再者,於上述的實施例,雖就在樣品3的表面形成溴化銨的情況進行說明,惟不限於此。例如,亦可在樣品3的表面形成氯化銨(NH4 Cl),將交替層積膜205進行蝕刻處理而形成孔圖案(貫通孔)212。此情況下,為了在樣品3的表面形成氯化銨(NH4 Cl)用的處理氣體(處理氣體)方面,使用組合氟甲烷(CH3 F)氣體、含氮氣體、氯(Cl2 )氣體或三氯化硼(BCl3 )氣體下的混合氣體即可。於此,含氮氣體方面,使用包含氮(N2 )、三氟化氮(NF3 )氣體、氨(NH3 )等的氮原子的氣體即可。
依本實施例時,在使混合氣體的電漿產生於處理室內的狀態下,使附著於樣品的層積膜的表面的氣體予以反應而在層積膜的表面形成對於構成層積膜的個別的膜的蝕刻有均等作用的化合物,使得可在不使蝕刻率降低之下,且以一次的程序在層積膜形成使剖面形狀垂直化的深孔圖案。
以上,雖基於實施例具體說明由本發明人創作的發明,惟本發明非限定於前述實施例者,在不脫離其要旨的範圍下可進行各種變更不言而喻。例如,上述之實施例是為了以容易理解的方式說明本發明而詳細說明者,未必限定於具備所說明之全部的構成。此外,就實施例的構成的一部分,可進行周知的其他構成的追加、刪除、置換。 [產業利用性]
本發明適用於製造半導體裝置的程序中的使用的電漿處理裝置,更詳細而言,可適用於電漿蝕刻裝置。
1:電磁線圈 2:樣品台 2a:基材 3:樣品 4:上部電極 5:噴灑板 6:氣體導入線路 7:上部電極用冷媒流道 8:放電用高頻電源 9:放電用高頻電力整合器 10:真空容器 11:電漿 12:上部電極絕緣體 13:絕緣環 14:靜電吸附膜 15:鎢電極 16:低通濾波器 17:直流電源 18:氦供應手段 19:冷媒流道 20:偏壓用高頻電源 21:偏壓用高頻電力整合器 22:絕緣板 23:絕緣層 24:遮蔽板 25:基座環 27:供電路徑 29:導電板 30:氣體通過孔 32:元件 35:溫度計測器 40:處理室 44:壓力調整閥 45:排氣部 50:電漿形成部 70:控制部 100:電漿處理裝置 191:溫度控制裝置 201:矽晶圓基板 202:阻擋膜 203:多晶矽膜 204:矽氧化膜 205:交替層積膜 206:硬遮罩膜 207:抗反射膜 208:抗蝕膜 212:孔圖案
[圖1] 就本發明的實施例相關的電漿處理裝置的概略的構成進行繪示的剖面圖。 [圖2] 就本發明的實施例相關的樣品的電漿處理前的剖面構造的一部分進行繪示的剖面圖。 [圖3] 就本發明的實施例相關的在將抗蝕圖案轉印於樣品的抗反射膜的狀態下的剖面構造的一部分進行繪示的剖面圖。 [圖4] 就本發明的實施例相關的在將抗反射膜圖案轉印於樣品的硬遮罩膜狀態下的剖面構造的一部分進行繪示的剖面圖。 [圖5] 就本發明的實施例相關的在將硬遮罩膜圖案轉印於樣品的交替層積膜的狀態下的剖面構造的一部分進行繪示的剖面圖。 [圖6] 就本發明的實施例相關的樣品的處理的流程進行繪示的流程圖。 [圖7] 就本發明的比較例3中的在電漿處理後的將硬遮罩膜圖案轉印於樣品的交替層積膜的狀態下的剖面構造的一部分進行繪示的剖面圖。 [圖8] 就本發明的實施例、比較例1~3的縱橫比、及蝕刻率的關係進行繪示的圖形。
1:電磁線圈
2:樣品台
2a:基材
3:樣品
4:上部電極
5:噴灑板
6:氣體導入線路
7:上部電極用冷媒流道
8:放電用高頻電源
9:放電用高頻電力整合器
10:真空容器
11:電漿
12:上部電極絕緣體
13:絕緣環
14:靜電吸附膜
15:鎢電極
16:低通濾波器
17:直流電源
18:氦供應手段
19:冷媒流道
20:偏壓用高頻電源
21:偏壓用高頻電力整合器
22:絕緣板
23:絕緣層
24:遮蔽板
25:基座環
27:供電路徑
28:供電路徑
29:導電板
32:元件
35:溫度計測器
40:處理室
41:間隙
42:開口
43:路徑
44:壓力調整閥
45:排氣部
50:電漿形成部
51:貫通孔
60:氣體供應部
61:質流控制器
70:控制部
71:冷媒供應線路
91:同軸電纜
100:電漿處理裝置
141:載置面
181:配管
191:溫度控制裝置
241:複數個孔

Claims (9)

  1. 一種3-D NAND快閃記憶體之製造方法,其具有一程序,前述程序為使形成有前述第1層積膜或前述第2層積膜的樣品為既定的溫度,同時使用溴化氫氣體、氫氟碳化合物氣體和含氮氣體的混合氣體將前述第1層積膜或前述第2層積膜進行蝕刻者,前述第1層積膜為交替層積矽氧化膜與多晶矽膜者,前述第2層積膜為交替層積矽氧化膜與矽氮化膜,前述既定的溫度為促進往前述第1層積膜表面或前述第2層積膜表面的溴化銨的形成的溫度。
  2. 如請求項1的3-D NAND快閃記憶體之製造方法,其中,前述氫氟碳化合物氣體為氟甲烷(CH3F)氣體。
  3. 如請求項1的3-D NAND快閃記憶體之製造方法,其中,前述含氮氣體為氮氣。
  4. 如請求項2的3-D NAND快閃記憶體之製造方法,其中,前述含氮氣體為氮氣。
  5. 如請求項1的3-D NAND快閃記憶體之製造方法,其中,前述既定的溫度為20℃以下。
  6. 一種3-D NAND快閃記憶體之製造方法,其具有一程序,前述程序為使用氟甲烷(CH3F)氣體、氮氣及氯氣的混合氣體或氟甲烷(CH3F)氣體、氮氣及三氯化硼(BCl3)氣體的混合氣體將交替層積有矽氧化膜與多晶矽膜的第1層積膜或交替層積有矽氧化膜與矽氮化膜的第2 層積膜進行蝕刻者。
  7. 一種3-D NAND快閃記憶體之製造方法,其為使用電漿將交替層積有矽氧化膜與金屬膜的層積膜進行蝕刻者,使用溴化氫氣體、氫氟碳化合物氣體和含氮氣體的混合氣體將前述層積膜進行蝕刻。
  8. 如請求項7的3-D NAND快閃記憶體之製造方法,其中,前述氫氟碳化合物氣體為氟甲烷(CH3F)氣體。
  9. 如請求項7的3-D NAND快閃記憶體之製造方法,其中,前述含氮氣體為氮氣。
TW108121957A 2018-10-26 2019-06-24 電漿處理裝置及電漿處理方法 TWI751423B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2018/039866 2018-10-26
PCT/JP2018/039866 WO2019138654A1 (ja) 2018-10-26 2018-10-26 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW202017036A TW202017036A (zh) 2020-05-01
TWI751423B true TWI751423B (zh) 2022-01-01

Family

ID=67219536

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121957A TWI751423B (zh) 2018-10-26 2019-06-24 電漿處理裝置及電漿處理方法

Country Status (6)

Country Link
US (1) US11532484B2 (zh)
JP (1) JP6778822B2 (zh)
KR (1) KR102314450B1 (zh)
CN (1) CN111373511B (zh)
TW (1) TWI751423B (zh)
WO (1) WO2019138654A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021020723A1 (ko) * 2019-07-26 2021-02-04 주성엔지니어링(주) 기판처리장치 및 그의 인터락 방법
WO2021171458A1 (ja) * 2020-02-27 2021-09-02 株式会社日立ハイテク プラズマ処理方法
JP7258826B2 (ja) * 2020-06-30 2023-04-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022144220A (ja) * 2021-03-18 2022-10-03 キオクシア株式会社 半導体装置の製造方法およびエッチング方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298192A (ja) * 1996-03-04 1997-11-18 Sony Corp 半導体装置の製造装置および静電チャックからのウエハ脱着方法
JP2015119099A (ja) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 半導体装置の製造方法
US20150332932A1 (en) * 2014-05-14 2015-11-19 Tokyo Electron Limited Method for etching etching target layer
US20160042918A1 (en) * 2014-08-08 2016-02-11 Tokyo Electron Limited Etching method of multilayered film

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0744173B2 (ja) 1985-10-11 1995-05-15 アプライド マテリアルズ インコ−ポレ−テツド シリサイド、多結晶シリコン及びポリサイドのエッチング方法
US5112435A (en) 1985-10-11 1992-05-12 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
JP3484317B2 (ja) * 1997-03-19 2004-01-06 沖電気工業株式会社 半導体装置の製造方法
JPH11297679A (ja) 1998-02-13 1999-10-29 Hitachi Ltd 試料の表面処理方法および装置
KR100521120B1 (ko) 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
JP4414518B2 (ja) 1999-09-10 2010-02-10 株式会社日立製作所 表面処理装置
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2005050917A (ja) 2003-07-30 2005-02-24 Toshiba Corp 半導体装置及びその製造方法
JP3816494B2 (ja) 2004-01-16 2006-08-30 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US20060011580A1 (en) * 2004-06-23 2006-01-19 Tokyo Electron Limited Plasma processing method and post-processing method
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
JP4534664B2 (ja) * 2004-08-24 2010-09-01 ソニー株式会社 磁気記憶装置の製造方法
KR20100048731A (ko) * 2008-10-31 2010-05-11 삼성전자주식회사 AlO 마스크를 이용한 반도체소자의 제조방법
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
KR101809192B1 (ko) 2011-12-16 2017-12-15 에스케이하이닉스 주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조방법
KR20130106151A (ko) 2012-03-19 2013-09-27 에스케이하이닉스 주식회사 고종횡비 캐패시터 제조 방법
KR101972159B1 (ko) 2012-08-24 2019-08-16 에스케이하이닉스 주식회사 실리콘함유하드마스크를 구비한 반도체장치 및 그 제조 방법
JP6153755B2 (ja) 2013-04-03 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6185305B2 (ja) 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP6211947B2 (ja) * 2013-07-31 2017-10-11 東京エレクトロン株式会社 半導体装置の製造方法
JP6277004B2 (ja) 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6230930B2 (ja) * 2014-02-17 2017-11-15 東京エレクトロン株式会社 半導体装置の製造方法
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6604833B2 (ja) 2015-12-03 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法
JP6870490B2 (ja) 2017-06-20 2021-05-12 コニカミノルタ株式会社 2成分現像剤及びこれを用いた画像形成方法
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
JP6953999B2 (ja) 2017-10-26 2021-10-27 東京エレクトロン株式会社 半導体装置の製造方法及び基板処理装置
US11270889B2 (en) 2018-06-04 2022-03-08 Tokyo Electron Limited Etching method and etching apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298192A (ja) * 1996-03-04 1997-11-18 Sony Corp 半導体装置の製造装置および静電チャックからのウエハ脱着方法
JP2015119099A (ja) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 半導体装置の製造方法
US20150332932A1 (en) * 2014-05-14 2015-11-19 Tokyo Electron Limited Method for etching etching target layer
US20160042918A1 (en) * 2014-08-08 2016-02-11 Tokyo Electron Limited Etching method of multilayered film

Also Published As

Publication number Publication date
WO2019138654A1 (ja) 2019-07-18
TW202017036A (zh) 2020-05-01
KR20200047442A (ko) 2020-05-07
KR102314450B1 (ko) 2021-10-19
JPWO2019138654A1 (ja) 2020-01-16
US11532484B2 (en) 2022-12-20
JP6778822B2 (ja) 2020-11-04
CN111373511B (zh) 2023-12-26
US20200227270A1 (en) 2020-07-16
CN111373511A (zh) 2020-07-03

Similar Documents

Publication Publication Date Title
TWI751423B (zh) 電漿處理裝置及電漿處理方法
US20210134604A1 (en) Etching method
JP5373669B2 (ja) 半導体装置の製造方法
KR102260339B1 (ko) 반도체 장치의 제조 방법
US9779961B2 (en) Etching method
US20130295774A1 (en) Plasma etching method
US9793134B2 (en) Etching method
JP5934523B2 (ja) 半導体装置の製造方法及びコンピュータ記録媒体
US20080073032A1 (en) Stage for plasma processing apparatus, and plasma processing apparatus
US9735025B2 (en) Etching method
JP2014096500A (ja) プラズマエッチング方法及びプラズマエッチング装置
US11319630B2 (en) Deposition apparatus and deposition method
TWI774308B (zh) 用於高頻處理的蓋堆疊
WO1999062111A1 (fr) Procede de gravure
KR20230109169A (ko) 증착 잔류물 제어를 위한 시스템들 및 방법들
US11810792B2 (en) Etching method and substrate processing apparatus
JP5695117B2 (ja) プラズマエッチング方法
JP2022048094A (ja) エッチング処理方法及び基板処理装置
WO2015151733A1 (ja) 成膜方法、半導体装置製造方法及び半導体装置