TWI723132B - 利用低溫晶圓溫度之離子束蝕刻 - Google Patents

利用低溫晶圓溫度之離子束蝕刻 Download PDF

Info

Publication number
TWI723132B
TWI723132B TW106105506A TW106105506A TWI723132B TW I723132 B TWI723132 B TW I723132B TW 106105506 A TW106105506 A TW 106105506A TW 106105506 A TW106105506 A TW 106105506A TW I723132 B TWI723132 B TW I723132B
Authority
TW
Taiwan
Prior art keywords
substrate
ion beam
etching
layer
beam etching
Prior art date
Application number
TW106105506A
Other languages
English (en)
Other versions
TW201742146A (zh
Inventor
托爾斯滕 立爾
伊凡 L 貝里三世
安東尼 瑞奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201742146A publication Critical patent/TW201742146A/zh
Application granted granted Critical
Publication of TWI723132B publication Critical patent/TWI723132B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中之實施例係關於用以蝕刻半導體基板中之特徵部的方法與設備。在許多例子中,可蝕刻特徵部並形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置。在許多實施例中,在特定處理步驟期間,可經由經冷卻之基板支持器將基板冷卻至低溫。經冷卻之基板支持器在降低所產生之裝置中與擴散相關之損害程度的方面可具有有利的影響。再者,使用在某些其他的處理步驟期間使用未冷卻之基板支持器同樣在降低與擴散相關之損害的方面可具有有利的影響,視特定步驟而定。在某些實施例中,經冷卻之基板支持器在一處理中可用以優先沉積材料(在一些例子中為反應物)於基板的某些部位上。所揭露之實施例可用以達到高品質之非等向性蝕刻結果。

Description

利用低溫晶圓溫度之離子束蝕刻
本發明係關於利用低溫晶圓溫度之離子束蝕刻。
半導體裝置的製造一般涉及將各種材料沉積到半導體基板上以及從其上移除的一系列的操作。一種用於移除材料的技術為離子束蝕刻,其涉及將離子輸送到基板的表面上,以將原子與化合物以異向性的方式從該表面上物理地及/或化學地移除。衝擊性的離子撞擊該基板的表面,並且透過動量傳遞(就反應性離子蝕刻而言又透過反應)來將材料移除。
本文中之某些實施例係關於用以蝕刻基板的方法與設備。通常,在形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置之情境下進行蝕刻。在各種例子中,在特定處理步驟期間使用經冷卻的基板支持器,可降低所發生的與擴散相關之損害的程度,並藉此達成製造高品質的STT-RAM裝置。
在所揭露實施例之一態樣中,提供蝕刻基板以形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置的方法,該方法包含下列步驟:接收該基板於反應腔室中,該基板包含(i) 底部電極層;(ii) 位在該底部電極層之上的蝕刻停止層;(iii) 位在該蝕刻停止層之上的第一磁性層;(iv) 位在該第一磁性層之上的穿隧介電層;(v) 位在該穿隧介電層之上的第二磁性層;及(vi) 圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;且其中在該第一離子束蝕刻操作期間,將基板支持器維持在介於約10°C與約120°C之間的溫度;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束;其中該第二離子束蝕刻操作係在較該第一離子束蝕刻操作低的離子能量之下執行;且其中該第一及/或該第二離子束蝕刻操作造成傳導性材料形成在該穿隧介電層之暴露部分上及/或該穿隧介電層之中;並且執行傳導性材料緩和操作,以緩和在該第一及/或該第二離子束蝕刻操作期間形成在該穿隧介電層之上或之中的該傳導性材料;其中緩和該傳導性材料包含移除該傳導性材料或使該傳導性材料較不具傳導性;其中該傳導性材料緩和操作包含將該基板暴露至離子束;其中該傳導性材料緩和操作係在較該第二離子束蝕刻操作低的離子能量之下執行;且其中在該傳導性材料緩和操作期間,將該基板支持器維持在介於約-70°C與約-10°C之間的溫度。
在一些實施例中,在操作期間可改變基板支持器之溫度。在一範例中,在該第二離子束蝕刻操作期間,該基板支持器之溫度可降低至少約20°C。在該第二離子束蝕刻操作期間,可將該基板支持器維持在介於約10°C與約120°C之間的溫度。
在該傳導性材料緩和操作期間,該離子束可包含氧離子與惰性離子。在一些其他的例子中,在該傳導性材料緩和操作期間,該離子束可包含惰性離子,而不包含任何反應性離子。在一些實施例中,在該傳導性材料緩和操作期間,該離子束包含惰性離子及選自由下列所組成之群組中的一或更多反應物:O2 、CO、CO2 、N2 、及其組合。
在一些例子中,可使用特定的離子能量。例如,在一實施例中,在該第一離子束蝕刻操作期間的離子能量可介於約100-10,000 eV之間(在一些例子中可介於約100-1000 eV之間),在該第二離子束蝕刻操作期間的離子能量可介於約50-300 eV之間,且在該傳導性材料緩和操作期間的離子能量介於約10-100 eV之間。在某些實施例中,方法可更包括在該第一離子束蝕刻操作之後、及在該第二離子束蝕刻操作之前,改變該基板與該離子束行進的方向之間的相對方向。
在所揭露實施例之一態樣中,提供蝕刻基板以形成STT-RAM裝置的方法,該方法包含下列步驟:接收該基板於反應腔室中,該基板包含(i) 底部電極層;(ii) 位在該底部電極層之上的蝕刻停止層;(iii) 位在該蝕刻停止層之上的第一磁性層;(iv) 位在該第一磁性層之上的穿隧介電層;(v) 位在該穿隧介電層之上的第二磁性層;及(vi) 圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;且其中在該第一離子束蝕刻操作期間,將基板支持器維持在介於約10°C與約120°C之間的溫度;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束,並優先沉積第一材料至在該第一離子束蝕刻操作期間被蝕刻的區域中;且其中在該第二離子束蝕刻操作期間,將該基板支持器維持在介於約-70°C與約10°C之間的溫度。
在一些實施例中,該第一材料具有特定性質。例如,在一些例子中,(a) 該第一材料為非傳導性,及/或(b) 當結合(i) 該底部電極層之材料、及/或(ii) 該第一或該第二磁性層之材料時,該第一材料為非傳導性。該第一材料可包含選自由下列所組成之群組中的一或更多材料:碳、SiO2 、SiN、SiC、SiCO、SiCN、及其組合。該方法可更包括在該第二離子束蝕刻操作期間,將該第一材料濺射在該特徵部的側壁上。
在一些例子中,該第一材料可為蝕刻反應物。該蝕刻反應物可包含含鹵化物化合物及/或金屬有機化合物。在一些此種例子中,在該第二離子束蝕刻操作期間,將該特徵部的側壁暴露到該離子束,同時將該第一材料沉積至在該第一離子束蝕刻操作期間被蝕刻的該區域中。在一些其他的例子中,該第二離子束蝕刻操作包含循環性地(a) 沉積該第一材料並且(b) 將該基板暴露到該離子束,其中(a)與(b)在時間上未重疊。
該方法可更包含在該第一離子束蝕刻操作之後,改變該基板與該離子束行進的方向之間的相對方向。在一些例子中,這可包括傾斜該基板。
在所揭露實施例之另一態樣中,提供蝕刻基板並形成STT-RAM裝置的離子束蝕刻設備,該設備包含:反應腔室;離子束產生器;基板支持器,配置以加熱並冷卻基板;控制器,具有透過下列動作蝕刻該基板的指令:接收該基板於反應腔室中,該基板包含(i) 底部電極層;(ii) 位在該底部電極層之上的蝕刻停止層;(iii) 位在該蝕刻停止層之上的第一磁性層;(iv) 位在該第一磁性層之上的穿隧介電層;(v) 位在該穿隧介電層之上的第二磁性層;及(vi) 圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;且其中在該第一離子束蝕刻操作期間,將基板支持器維持在介於約10°C與約120°C之間的溫度;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束;其中該第二離子束蝕刻操作係在較該第一離子束蝕刻操作低的離子能量之下執行;且其中該第一及/或該第二離子束蝕刻操作造成傳導性材料形成在該穿隧介電層之暴露部分上及/或該穿隧介電層之中;並且執行傳導性材料緩和操作,以緩和在該第一及/或該第二離子束蝕刻操作期間形成在該穿隧介電層之上或之中的該傳導性材料;其中緩和該傳導性材料包含移除該傳導性材料或使該傳導性材料較不具傳導性;其中該傳導性材料緩和操作包含將該基板暴露至離子束;其中該傳導性材料緩和操作係在較該第二離子束蝕刻操作低的離子能量之下執行;且其中在該傳導性材料緩和操作期間,將該基板支持器維持在介於約-70°C與約-10°C之間的溫度。
在所揭露實施例之更另一態樣中,提供蝕刻基板並形成STT-RAM裝置的離子束蝕刻設備,該設備包含:反應腔室;離子束產生器;基板支持器,配置以加熱並冷卻基板;控制器,具有透過下列動作蝕刻該基板的指令:接收該基板於反應腔室中,該基板包含(i) 底部電極層;(ii) 位在該底部電極層之上的蝕刻停止層;(iii) 位在該蝕刻停止層之上的第一磁性層;(iv) 位在該第一磁性層之上的穿隧介電層;(v) 位在該穿隧介電層之上的第二磁性層;及(vi) 圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;且其中在該第一離子束蝕刻操作期間,將基板支持器維持在介於約10°C與約120°C之間的溫度;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束,並優先沉積第一材料至在該第一離子束蝕刻操作期間被蝕刻的區域中;且其中在該第二離子束蝕刻操作期間,將該基板支持器維持在介於約-70°C與約-10°C之間的溫度之下。
該等與其他特徵將參考相關圖式描述如下。
在本申請案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分已製成積體電路」等用語可互換地使用。本技術領域中具有通常知識者應理解「部分已製成積體電路」指涉在其上的許多積體電路製程階段中之任一期間的矽晶圓。使用於半導體裝置產業的晶圓或基板典型地具有200mm、或300mm、或450mm的直徑。以下實施方式假設實施例係在晶圓上實施。然而,實施例不如此受限制。工件可具有各種形狀、尺寸、及材料。除了半導體晶圓之外,可從所揭露之實施例受益的其他工件包括各種物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、反射鏡、光學元件、微機械裝置、及其他。
為提供本文之實施例的全面性理解,在下列描述中闡述許多具體細節。所揭露之實施例毋須若干或全部該等具體細節而可被實施。在其他例子中,為了避免不必要地混淆所揭露之實施例,熟知的處理作業將不再贅述。雖然所揭露之實施例結合具體實施例來描述,但應知其非意欲限制所揭露之實施例。
離子束蝕刻常用於磁性裝置的製程中。如前文提及,離子束蝕刻涉及藉由將高能量的離子輸送到基板表面以從基板表面移除材料。離子束蝕刻可概括地分類為單單涉及惰性離子(例如氬離子、氦離子等)的處理、及涉及反應性離子或由離子所引發之化學反應(例如與化學吸附或物理吸附於基板表面上之反應物引發化學反應的氧離子、某些離子化合物(如含氟離子化合物)、反應性或惰性離子等)的處理。在這些處理中,離子碰撞在基板表面並通過下列方式來移除材料:直接的物理動能轉移(濺射);或由從離子轉移之能量所引發之化學反應(反應性離子束蝕刻、或化學輔助離子束蝕刻) 。反應性離子束蝕刻(RIBE)一般涉及利用可與基板發生化學反應的離子(例如氧、氟等)。在化學輔助離子束蝕刻(CAIBE)中,惰性離子引發基板與反應物(例如吸附在表面上的施加氣體)之間的化學反應;或在基板的表面上產生反應性位置,在反應性位置產生之同時或之後,反應性位置與所施加之反應物發生反應;或其中的任何組合。
用以進行離子束蝕刻處理的某些應用涉及蝕刻非揮發性材料。在一些例子中,被蝕刻的材料為傳導性材料。在某些實施例中,材料係在形成磁阻式隨機存取記憶體(MRAM)裝置(例如,旋轉力矩轉移記憶體裝置(STT-RAM))的情境下被蝕刻。本文中所述之各種方法與設備亦可有用於形成相變化記憶體裝置(PSM)、非揮發性導體(銅、鉑、金等)、垂直堆疊記憶體裝置等的情境下。
圖1呈現用以根據某些方法執行離子束蝕刻的設備100的簡化剖面圖。在此範例中,基板101擱置在基板支持器103上,其可裝備有用以提供電氣與流體連接的硬體(未圖示)。電氣連接可用以供應電流至基板支持器103,或在某些例子中供應至位於基板支持器103之上或之中的靜電卡盤(未圖示);而流體連接可用以提供流體,其用以控制基板101及基板支持器103之溫度。基板支持器103可被加熱器(未圖示)加熱及/或被冷卻機制(未圖示)冷卻。可使用任何適當的冷卻機制。在一範例中,冷卻機制可涉及使冷卻流體流經基板支持器103之中或與之相鄰的配管系統。在另一範例中,冷卻機制可涉及單一或混合冷媒在低溫溫度之下於基板支持器中的循環。在另一範例中,冷卻機制可涉及複數帕耳帖(Peltier)裝置,其可合併於基板支持器103之中或與之相鄰。其中具有用以冷卻及/或加熱基板的複數帕耳帖裝置的一例示性基板支持器參考圖4進一步討論如下。在另一範例中,基板支持器可包括位於其中或其上的一或更多低溫恆溫器以完成冷卻。溫度控制基板支持器進一步描述於美國專利申請案第13/908676號,申請日為2013年6月3日,案名為「TEMPERATURE CONTROLLED SUBSTRATE SUPPORT ASSEMBLY」,該案以全文併入本案之參考資料。基板支持器103能夠以可變的速度與角度來旋轉或傾斜,如圖1中之雙箭頭所指出。
電漿產生氣體被輸送到主要電漿產生區域105。電漿產生氣體被電漿來源107施加能量。在圖1的情境中,電漿來源107為作用為感應耦合式電漿來源的線圈。在經適當設計過的反應器中可應用其他來源,例如電容耦合式來源、微波來源、或放電來源。電漿在主要電漿產生區域105中形成。擷取電極(extraction electrode)109包括一系列的孔口110,離子通過孔口110被擷取。
孔口110可具有介於約0.5-1cm之間的直徑及由電極之厚度所界定之高度。孔口110可具有介於約0.01-100.0之間的高比寬之縱橫比(AR)。在一些例子中,孔口110經配置成六邊形、方形、螺旋圖案,但亦可使用其他的圖案。相鄰孔口之間的中央至中央距離可介於約1mm-10cm之間。孔口可經配置以達到為電極之表面積的約0.1%-95%之間(若僅考慮電極的單一面(頂面或底面))的總開口面積(亦即各孔口之面積總和)。例如,具有40cm之直徑與500個孔口(各具有1cm之直徑)的電極,具有約31%(393 cm2 的開口面積除以1257 cm2 的總面積)的開口面積。在不同的電極中,孔口110可具有不同的直徑。在一些例子中,孔口直徑可在上電極較小且在下電極較大。在一實施例中,在下電極113的孔口大於在聚焦電極111的孔口(例如約大於0-30%)。在這些或其他的例子中,在聚焦電極111的孔口大於在擷取電極109的孔口(例如約大於0-30%)。
相對於基板101而施加至擷取電極109的偏壓V1 作用以提供相對於基板之動能給離子。此偏壓通常為正電且範圍可介於約20-10,000伏特之間或更高。在某些例子中,擷取電極上的偏壓介於約20-2,000伏特之間。在擷取電極109上方的電漿中的陽離子受電極109與113之間的電位差影響而被吸引至下電極113。加設聚焦電極111以聚焦離子及(若需要)排斥電子。此電極上的偏壓 V2 可相對於擷取電極109為正或負偏壓,但通常施加負偏壓 。聚焦電極111的偏壓電位由聚焦電極111的透鏡特性來決定。聚焦電極上的偏壓包括正電壓與負電壓,正電壓介於擷取電極上的電位V1 的約1.1倍至 20倍之間,負電壓具有介於V1 之電位的約0.001倍至0.95倍之間的大小。由於施加至不同電極上的不同電位,存在電位梯度。電位梯度可大約為1000 V/cm之等級。相鄰電極之間的例示性間隔距離落在約0.1-10 cm之間,或例如約1 cm。
若聚焦電極111電壓經設定以提供準直的射束,則在離子離開接地的下電極113的底部之後在準直且聚焦的射束中前進。替代地,若聚焦電極電壓經調整以使離子束欠焦或過焦(under- or over-focus),則可使射束發散。在許多例子(但非全部)中,下電極113被接地。接地的下電極113與接地的基板101結合地使用,形成實質上無電場(field-free)的基板處理區域115。使基板坐落在無電場的區域中可避免電子或二次離子(因離子束與反應腔室中的殘餘氣體或表面之間的碰撞而產生)被朝基板加速,藉此將導致不樂見之損害或二次反應的風險降至最低。
應避免基板101因離子束本身、或因逐出二次電子(在離子束與基板的碰撞期間產生)而充電。中和作用一般係透過在基板101的周圍加設低能量的電子來源而達成。因為離子上的正電荷與逐出二次電子均對基板充正電,所以在基板周圍的低能量的電子被吸引到充正電的表面,並且將此電荷中和。該中和作用在無電場的區域中較容易執行。
在一些應用中,較佳的係在下電極113與基板101之間具有電位差。例如,若需要非常低能量的離子,因為帶正電荷的離子之相互排斥作用(空間電荷效應),所以難以長距離地將良好準直的射束維持在低能量。針對此問題的解決方法為相對於基板101將下電極113施加負偏壓(或相反地,相對於下電極113將基板101施加正偏壓)。這允許將較高能量的離子擷取出來,然後當該等離子到達基板時將該等離子降速。
在某些離子束蝕刻操作中,可省略三個電極中的其中一者。若如此,則在將離子定向到基板表面的能量方面的彈性較小。產生此限制係由於為了使離子如所需般被聚焦並定向,應施加特定比例的偏壓電位至兩個電極。偏壓電位的比例由兩個電極的聚焦特性與幾何形狀來控制。因此,當使用特定幾何形狀且期望下電極為特定偏壓/電氣狀態(例如接地)時,在施加至上電壓的偏壓方面的彈性小或無彈性。因此,使用此種結構的反應腔室被限制在當離子通過各種電極時可給予離子的離子能量範圍內。引入第三個電極允許在許多不同的離子能量下使離子如所需般被聚焦/定向,如上述。
各個電極109、111、及113均具有厚度,可介於約0.5 mm–10 cm之間、或介於約1 mm–3 cm之間,例如約 5mm。電極109、111、及113可各具有相同厚度,或該者可具有不同厚度。再者,擷取電極109與聚焦電極111之間的間隔距離可等於、大於、或小於聚焦電極111與下電極113之間的間隔距離。各個電極109、111、及113亦均具有尺寸,可等於、大於、或小於被處理之基板的尺寸。在某些實施例中,電極的尺寸接近基板或基板支持器的尺寸(例如,約50%之內)。
電極109、111、及113可為圓形、三角形、或其他多邊形。在某些實施例中,電極長且狹窄,其中長尺寸幾乎等於或大於基板的一直徑,且基板在正交方向上被掃描,使得當以時間平均時,離子束均勻地衝擊在整個基板表面上。
擷取電極109、聚焦電極 111、以及下電極113中的孔口110精確地互相對準。否則,離子將被不正確地瞄準,且晶圓上的蝕刻結果將不良。例如,若聚焦電極 111中的單一個孔口未被對準,可能造成基板101的一面積被過蝕刻(太多離子被定向至該處),而基板101的另一面積則蝕刻不足(沒有或太少離子被定向至該處)。因此,較佳的係盡可能地將該等孔口相互對準。在許多例子中,垂直地相鄰的電極之間的對準偏移量(由孔口之位置相較於相鄰的孔口的線性偏移距離量測而得)被限縮到約1%或更低的孔口的直徑。
在某些實施例中,可設置第四個電極(未圖示)在(例如)擷取電極109的上方。第四個電極可為中空陰極發射器電極。換句話說,第四個電極可具有與其他電極109、111、及113中的孔口互相對準的複數孔口。中空陰極發射器電極中的各個孔口可經配置為中空陰極發射器。為此,中空陰極發射器電極可具有上表面與下表面,下表面面對擷取電極109。可形成中空陰極發射器電極中的複數孔口,使得各個孔口可具有在上表面較大且朝下表面縮小的直徑。中空陰極發射器電極之中空陰極發射器可具有各種形狀。在某些例子中,中空陰極發射器電極中的孔口包括下方的圓柱狀部分與上方的可變直徑部分。上方的可變直徑部分可具有漏斗狀。在某些類似的實施例中,擷取電極109可經製造成具有參考中空陰極發射器電極所述之任一性質的中空陰極發射器電極。
再者,可包括一組反射器(未圖示)在(例如)下電極113之下方。反射器可相對於下電極113之法向量具有介於約0.5-20°之間的角度α。反射器之長度可足夠長,以從通過孔口到基板上的視線投影封閉孔口。因此,反射器之長度可大於或等於下電極113中孔口的直徑除以sin α。相鄰反射器之間的間隔可等於相鄰孔口之間的間隔。反射器可定位成彼此平行,使得該者一致地改變離子的軌跡。由於反射器改變離子/微粒進入基板處理區域115時的軌跡,故離開反射器的微粒不筆直朝下地行進。若期望微粒以垂直角度(即90° )撞擊晶圓101,則晶圓101可傾斜以適應微粒成角度的軌跡。可透過控制基板支持器103來達成傾斜。在一些例子中,在蝕刻期間,可使晶圓以各種程度傾斜或無傾斜,以視所需定向離子/微粒。在其他例子中,電極組件可相對於基板傾斜。例如,傾斜可有助於達到在特徵部側壁上之良好的蝕刻結果。無論是否使用反射器,均可進行此種傾斜。
離子束蝕刻處理一般係在低壓下進行。在一些實施例中,壓力約100 mTorr 或更低,例如約1 mTorr或更低,且在一些例子中為約 0.1 mTorr 或更低。低壓有助於將離子與任何氣態物種(存在於基板處理區域中)之間不樂見的碰撞可能性降至最低。在某些例子中,將相對上高壓的反應物輸送至一另外的低壓離子處理環境中。用以達成此種處理方法的設備描述於以下美國專利申請案,該等案各以全文併入本案之參考資料:美國專利申請案第14/458161號,申請日為2014年8月12日,案名為「DIFFERENTIALLY PUMPED REACTIVE GAS INJECTOR」;及美國專利申請案第14/473863號,申請日為2014年8月29日,案名為「ION INJECTOR AND LENS SYSTEM FOR ION BEAM MILLING」。
在一些實施例中,離子束蝕刻處理可用於原子層蝕刻處理。原子層蝕刻方法進一討論於以下美國專利案,該等案各以全文併入本案之參考資料:美國專利案第 7416989號,案名為 「ADSORPTION BASED MATERIAL REMOVAL PROCESS」; 美國專利案第7977249號,案名為「METHODS OF REMOVING SILICON NITRIDE AND OTHER MATERIALS DURING FABRICATION OF CONTACTS」; 美國專利案第8187486號,案名為「MODULATING ETCH SELECTIVITY AND ETCH RATE OF SILICON NITRIDE THIN FILMS」; 美國專利案第7981763號,案名為「ATOMIC LAYER REMOVAL FOR HIGH ASPECT RATIO GAPFILL」; 以及美國專利案第 8058179號,案名為「ATOMIC LAYER REMOVAL PROCESS WITH HIGHER ETCH AMOUNT」。
當使用離子束蝕刻來形成旋轉力矩轉移磁性隨機存取記憶體 (STT-RAM,亦稱為STT-MRAM) 裝置時,可能出現某些困難。一此種困難參考圖2A-2D描述。圖2A-2C圖解當歷經圖2D中所述之多步驟蝕刻處理250時的部分已製成半導體基板200。圖2A圖解蝕刻處理250開始之前的基板200。基板200包括底部電極層202、蝕刻停止層204、第一磁性層206a、穿隧介電層208、第二磁性層206b、及圖案化遮罩層210。這些層用以形成磁性穿隧接面(MTJ)。用以製造各個層的材料為技術領域中普遍已知者。用於蝕刻停止層204與遮罩層210的例示性材料包括(但不限於)鉭與鉭氮化物。用於磁性層206a與206b的例示性材料包括(但不限於)鈷、鐵、鎳、鉑、鈀、及其組合。用於磁性層206a與206b的一常用材料為鐵化鈷。此層亦可被摻雜一或更多材料,包括(但不限於)硼。用於穿隧介電層208的例示性材料包括(但不限於)鎂氧化物,但在一些例子中可使用其他的材料。用於底部電極層202的例示性材料包括(但不限於)鎢、鉭、鉭氮化物、鈦、鈦氮化物、鋁、銅等。
參考圖2D,多步驟蝕刻處理250起始於操作252,其中執行第一蝕刻操作(通常稱為主蝕刻操作)以在基板200上之材料疊層中界定特徵部。在各種實施例中,此操作可涉及將基板暴露至惰性離子束,以視所需將相關材料物理濺射離開。替代地或另外地,在一些其他的實施例中,操作252可涉及將基板暴露至反應性化學品以幫助蝕刻處理。在此種例子中,此蝕刻可通過化學(而非僅物理)機制而發生。此操作一般係在相當高的離子能量下完成,在一些例子中,介於約100-10,000 eV之間 (在一些例子中,介於約100-1000 eV之間)。在特定範例中,使用氬離子且在約1000 eV之離子能量下。在此操作期間,離子以90°角撞擊於基板上,且蝕刻以異向性的方式向下通過材料疊層而進行。第一蝕刻可具有介於約30-300 s之間、或介於約100-200 s之間的持續期間。
圖2B圖解進行操作252中之第一蝕刻之後的基板200。此時,特徵部被界定於基板200中,但比所期望的寬。接下來,操作254涉及執行第二蝕刻 (通常稱為修整蝕刻操作)以縮小基板200上之特徵部。如同操作252中之第一蝕刻,操作254中之第二蝕刻可涉及將基板200暴露至惰性離子束及/或反應性化學品。第二蝕刻一般係在比第一蝕刻低的離子能量下執行。在各種實施例中,在第二蝕刻期間的離子能量可介於約50-300 eV之間。在特定範例中,使用氬離子且在約100eV之離子能量下。基板200一般相對於離子束之方向傾斜,使得離子束以非垂直的角度撞擊在基板200上。在一些實施例中,操作252中之第一蝕刻與操作254中之第二蝕刻之間的過渡時期涉及暫時地停止基板200上之離子流量(例如透過關閉離子來源上的斷續器(shutter)及/或熄滅電漿等)、改變基板200相對於離子來源的位置、及降低離子能量。圖2C圖解進行操作254中之第二蝕刻之後的基板200。第二蝕刻之後的特徵部之寬度(w)約為40nm或更低。在一些例子中,第二蝕刻之後的特徵部之寬度可介於約20-40nm之間。在第二蝕刻之前,此寬度更大,在一些例子中,介於約50-100nm之間。第二蝕刻可減少特徵部之寬度約10-30%。通常,特徵部在第二蝕刻之後會具有約1:1的深寬比。在一些例子中,特徵部可具有大約100nm的節距。在某些實施例中,第二蝕刻可具有介於約60-600 s之間、或介於約100-300 s之間的持續期間。
在操作254中之第二蝕刻期間,離子以一角度撞擊在特徵部的側壁上。一後果為來自磁性層(尤其第二磁性層206b)的金屬被推進穿隧介電層208中。再者,來自底部電極層202的材料及/或來自鄰近特徵部的材料可能被向上/上方濺射在穿隧介電層208的側壁上。這些現象係不樂見的,因為引入傳導性材料至應為絕緣材料的穿隧介電層208之中/之上。此傳導性材料可能導致穿隧介電層208形成短路,常造成最終的裝置失敗。
為解決穿隧介電層208之中/之上的傳導性材料的問題,在操作256中執行氧化步驟。操作256中之氧化步驟與操作254中之第二蝕刻之間的過渡時期可類似於操作252與254之間的過渡時期。例如,過渡時期可涉及停止基板200上之離子流量(例如透過使用斷續器、熄滅電漿等)、選擇性地改變基板200相對於離子束的位置(例如傾斜基板及/或促使離子束改變方向)、及降低離子能量。過渡時期亦可涉及開始輸送不同的處理氣體,使得操作256中之離子束具有與操作254中所使用之離子束不同的組成。
操作256中之氧化步驟涉及將基板200暴露至相對上較低能量的離子以氧化並藉此使在穿隧介電層208的側壁上的傳導性材料之傳導性降低。在各種實施例中,撞擊在基板200上的離子係由在氬或另一惰性氣體中包括相對上較少量的氧的處理氣體所產生。在一些此種例子中,用以產生離子束的處理氣體可約具有1-20%(體積百分比)的氧。在某些實施例中,可週期性地進行氧暴露,例如在僅輸送惰性離子至基板的步驟之間。在一些其他的實施例中,在氧化步驟的過程中,氧輸送可為連續的,且離子束之組成可為均勻的。在其他的實施例中,氧可與離子束分開地輸送,例如,以直接輸送至反應腔室的O 形式。針對此步驟之離子能量可介於約10-100 eV之間。氧化步驟之持續期間可介於約30-300 s之間、或介於約60-120 s之間。在特定範例中,操作256涉及使用由氬中含10%(體積百分比)的氧的處理氣體所產生之離子束將基板200暴露至以約50 eV之離子能量提供的氧離子與氬離子。透過將位於穿隧介電層208的特徵部之側壁上的傳導性材料氧化,在此層上形成短路的可能性顯著地降低。在此氧化步驟之後,多步驟蝕刻處理完成。
遺憾的係,氧原子(以及存在於腔室中的任何其他材料,例如氫、氮、水氣等)可能擴散進特徵部中。隨著特徵部尺寸持續微型化,此種擴散變得逐漸造成問題。在相同的一組擴散條件下,較窄之特徵部將比較寬之特徵部更大程度地受損害(例如,較多比例的較窄之特徵部將被損害)。因此,雖然擴散議題在先前並不認為特別造成問題,但此為隨著微型化特徵部尺寸而增加重要性的議題。在本文中所述之特徵部尺寸(例如約40nm或更小)下,此種擴散非常具有損害性。
擴散的材料會對裝置造成明顯的損害,尤其在沿著穿隧介電層與磁性層之間的靈敏的介面上。結果為產生小的處理窗。在一方面,將基板暴露到氧降低了在穿隧介電層上形成短路的可能性。在另一方面,將基板暴露到氧提高了氧原子形成在特徵部的表面上並擴散進入特徵部而損害並潛在地破壞裝置的可能性。為在這些考量之間取得平衡,一般僅提供少量的氧至基板,但在一些例子中,即使此種少量的氧仍可能損害或破壞裝置。有利地,所揭露之實施例提供擴大可用之處理窗的處理方法。
例如,各種所揭露之實施例使用降低特徵部中之擴散程度的處理方案,例如透過使用在特定處理步驟期間冷卻的基板支持器(通常稱為熱電靜電卡盤)。一結果為針對給定的特徵部中之氧擴散耐受度,可將較大量的氧輸送至基板,表示位於穿隧介電層之特徵部的側壁上的任何傳導性材料可被更有效地氧化,且在此層上的短路風險可被降至最低。反過來說,針對給定的氧量(輸送至基板以氧化位於穿隧介電層之特徵部的側壁上的傳導性材料),對於所產生之裝置的關於擴散的損害將較小。
再者,在某些實施例中,圖2D中所述之處理流程可經修改以(1) 降低在穿隧介電層之特徵部的側壁上形成傳導性材料的風險;及/或(2) 降低氧原子形成在特徵部上並擴散至特徵部中的風險。此種實施例將進一步描述如下。
如前文提及,解決擴散問題的一解決方式為利用可在某些處理步驟期間冷卻至低溫的基板支持器。被冷卻的基板支持器有助於將基板維持在相對上較低的溫度之下。因為擴散作用與溫度相關,故結果為擴散可降至最低。
擴散係數指涉因分子擴散而產生的莫耳通量和物種之濃度梯度之間的比例常數。第一物質相對於第二物質的擴散係數愈高,兩物質彼此擴散愈快。擴散係數作為溫度之函數的關係式遵守阿瑞尼士方程式(Arrhenius equation) : D = D0 *e(-Qd /kB *T) 其中 D = 特定溫度下之擴散係數 D0 = 與溫度無關之指數前因子 Qd = 擴散作用之活化能 kB = 波茲曼常數(Boltzmann constant),及 T = 溫度
因為擴散係數隨溫度提高而增大,故透過在某些處理步驟期間將基板維持在低溫之下,可將氧與其他雜質進入特徵部中的擴散降至最低。例如,參考圖2D,特別有利的係在操作256的氧化步驟期間將基板維持在低溫之下。
圖3A根據某些實施例呈現用以蝕刻半導體基板上之特徵部的多步驟蝕刻處理之流程圖。基板可包括如圖2A-2C中所示之材料的疊層,且圖3A將參考這些圖式來加以解釋。方法300起始於操作302,其中執行第一蝕刻操作以在基板200上界定特徵部。基板200可如圖2A中所示者(在第一蝕刻之前)、及如圖2B中所示者(在第一蝕刻之後)。操作302中之第一蝕刻可如參考圖2D中操作252所述者,且所提出之關於操作252的任何細節亦可應用於操作302中之第一蝕刻。在各種實施例中,在第一蝕刻期間,可將基板支持器維持在介於約10-120°C之間、或介於約30-80°C之間、或介於約 50-120°C之間的溫度下。
在各種例子中,期望的係在第一蝕刻期間將基板支持器(及因此基板200)維持在相對上溫暖的溫度下。雖然較低的基板溫度有益於減小擴散係數,但亦存在一與之對抗的考量。較低的基板/基板支持器溫度提高了腔室中蒸氣態材料(例如水、氧、氫、氮等)凝結在基板之特徵部上之可能性。當此種材料凝結在特徵部上時,該者具有較大的機會能擴散進入特徵部中。此外,此種凝結的材料可能干擾蝕刻處理。因此,低溫的基板支持器/基板僅可用於特定之步驟期間,如本文中所述。因為第一蝕刻通常係使用惰性離子束來執行(使得可能不樂見地擴散進入特徵部中的氧濃度相當低)且涉及蝕刻顯著的材料量,故在一些實施例中,有利的係在相對上溫暖的基板溫度下實施第一蝕刻,如上所述。在另一實施例中,第一蝕刻可使用經冷卻的基板支持器來執行。在此種例子中,在第一蝕刻期間,可將基板支持器維持在介於約10-120°C之間、或介於約10-80°C之間、或介於約 10-50°C之間的溫度下。
接下來,在操作304,執行第二蝕刻以縮小特徵部。操作304中之第二蝕刻可如參考圖2D中操作254所述者,且所提出之關於操作254的任何細節亦可應用於操作304中之第二蝕刻。類似地,前文中呈現之關於從操作252到254的過渡期間的細節亦可應用於從操作302到304的過渡期間。在某些實施例中,在第二蝕刻期間,可將基板支持器維持在相對上溫暖的溫度下,例如介於約10-120°C之間、或介於約30-80°C之間。因為此蝕刻通常涉及輸送惰性離子束 (且可能不樂見地擴散進入特徵部中的氧濃度相當低),故在各種例子中,有利的係在相對上溫暖的基板/基板支持器溫度下執行第二蝕刻。關於低溫之考量大致上相同於參考操作302中之第一蝕刻所述者。
在另一實施例中,在操作304中之第二蝕刻期間,可主動地降低基板支持器之溫度。針對第二蝕刻之基板支持器之例示性起始溫度可介於約-30°C 與 120°C之間(或本文中參考第一蝕刻操作所述之任何溫度範圍) 。針對第二蝕刻之基板支持器之例示性結束溫度可介於約-70°C 與 -10°C之間,且冷卻的速率可介於約0.5-4°C/s之間,例如在一些例子中,介於約1-3°C/s之間。在一些實施例中,低溫議題(例如關於材料在特徵部上不樂見之凝結)在第一蝕刻期間(相較於第二蝕刻)具有較大的顧慮。這係因為在第一蝕刻中移除的較大的材料量及/或因為其他處理的差異(例如離子能量、化學品等)。因此,有時在第一蝕刻期間,期望將基板支持器溫度維持得相對上較溫暖,然後在第二蝕刻之前及/或期間將之下降。在第二蝕刻期間降低基板支持器溫度的一益處為基板支持器在操作306中之氧化步驟開始時係處在低溫。如下所述,通常有利的係在低的基板支持器/基板溫度之下執行氧化步驟。透過確保基板支持器/基板在第二蝕刻結束時處在相對上較低溫之下,在操作304與306之間便毋須等待基板支持器/基板冷卻下來。因此,在第二蝕刻期間降低基板支持器/基板溫度可提高產量。使用熱電元件的基板支持器可提供這些操作溫度範圍以及快速改變溫度(每秒大於或等於1℃)之能力。
接下來,在操作306,執行氧化步驟。此氧化步驟可如參考圖2D中操作256所述者,且所提出之關於操作256的任何細節亦可應用於操作306。類似地,所提出之關於操作254與256之間的過渡期間的細節亦可應用於操作304與306之間的過渡期間。然而,在一些例子中,在操作304與306之間會使用相對上較長的暫停時間,以允許基板支持器冷卻至期望溫度。在氧化步驟期間,可將基板支持器維持在相對上較低的溫度,以將材料(例如氧、水氣等)擴散進入特徵部中的程度降至最低。低的基板支持器溫度因此保護特徵部,尤其是磁性層206a與206b與穿隧介電層208之間的靈敏的介面。結果為存在於腔室中的氧(及/或其他物種)較不可能損害或破壞所產生的裝置。
針對在氧化步驟期間之基板支持器的例示性溫度可介於約-70°C 與10°C之間、或介於約-30°C 與 -10°C之間。在一些實施例中,在氧化步驟期間,可將基板支持器之溫度降低(例如,在操作306期間,基板支持器可開始或持續主動冷卻)。操作304與306之間、及/或操作306期間之例示性冷卻速率可落在前文中討論之冷卻速率之範圍內。圖3A之方法300與圖2D之方法250至少就以下方面而言存在差異:控制基板支持器的溫度,以將氧或其他材料進入特徵部中的擴散降至最低。
在某些實施例中,從圖3A中所述之處理流程出發,進入基板上之特徵部中的擴散可被進一步降至最低。此種處理流程改變可關於(1) 以不涉及輸送氧至基板的處理來替代操作306中之氧化步驟;及/或(2) 在操作304中之第二蝕刻期間優先沉積材料,使得後濺(backsputtered)於特徵部側壁上的材料為非傳導性;及/或(3) 刪除氧化步驟。
圖3B根據某些實施例呈現用以蝕刻半導體基板上之特徵部的多步驟蝕刻處理之流程圖,其中以傳導性材料緩和步驟316來替代氧化步驟306。此步驟亦利用經冷卻的基板支持器,以冷卻基板並將材料進入特徵部中之擴散降至最低。方法310起始於操作302及304,其中分別為第一與第二蝕刻。這些操作於前文中描述且為了使說明書簡潔的緣故而不再重述。方法310繼續至操作316,其中執行傳導性材料緩和步驟。可不暴露基板至氧而執行此步驟。替代地,可將基板暴露至惰性離子束及/或替代性化學品,以移除穿隧介電層之側壁上的傳導性材料,或使得此種材料較不具傳導性。
使用惰性離子束(無氧)將實質上降低氧擴散進入特徵部中達破壞性程度之風險。使用替代性化學品可類似地降低氧擴散進入特徵部中達破壞性程度之風險。此種替代性化學品與氧相較之下具有較低的相對於穿隧介電層之材料的擴散係數,表示任何進入特徵部中的擴散可能較不廣泛。類似地,即使在相同的擴散程度之下,替代性化學品亦可對裝置造成較小的損害(取決於所選用之化學品而定)。在一實施例中,操作316涉及將基板暴露至惰性離子束,而未將基板暴露至任何反應性化學品。在穿隧介電層208之側壁上的任何傳導性材料均可被惰性離子束物理濺射離開。在一些其他的範例中,亦可將基板暴露至反應性化學品。可將反應性化學品直接輸送至基板表面(例如未通過產生離子束的離子來源),或可將之作為用以產生離子束的處理氣體的一部分而輸送。
可輸送以移除存在於穿隧介電層208之特徵部的側壁上的任何傳導性材料的例示性反應性化學品包括(但不限於) O2 、CO、 CO2 、N2 、及其組合。操作316期間的例示性離子能量可介於約10-100 eV之間、或介於約20-80 eV之間。操作316之例示性持續期間可介於約30-600 s之間、或介於約200-300 s之間。操作316期間之基板支持器的例示性溫度可介於約-70°C與 10°C之間、或介於約-30°C與 -10°C之間。低溫的基板支持器可有助於將材料(例如存在於腔室中的任何氫、氧、氮、水氣等)能夠擴散進特徵部中的程度降至最低。為了前文中討論的理由,有利的係在相對上較高的基板支持器溫度之下執行第一與第二蝕刻(分別在操作302與304中)。在一些例子中,在第二蝕刻期間可主動降低基板支持器溫度,如前文中提及。
圖3C根據某些實施例呈現用以蝕刻半導體基板上之特徵部的多步驟蝕刻處理之流程圖,其中材料優先沉積在基板的某些部份上。此材料使傳導性材料後濺於基板上之特徵部上的程度降至最低。因此,較少傳導性材料形成於穿隧介電層之特徵部的側壁上,且在此層形成短路的可能性顯著下降。
方法320起始於操作302,其中執行第一蝕刻。此蝕刻可相同於圖3A之操作302中的第一蝕刻,且為了使說明書簡潔的緣故而不再重述。方法320繼續至操作324,其中執行第二蝕刻。此蝕刻可在相對上較低的基板支持器/基板溫度之下完成,以將存在於腔室中之物種能夠擴散進特徵部中的程度降至最低。在一些例子中,在操作324期間,可將基板支持器維持在介於約-70°C與10°C之間、或介於約 -30°C與 -10°C之間的溫度之下。在第二蝕刻期間,蒸氣態的材料被輸送至基板且被允許優先沉積在經蝕刻的區域上。參考圖2B,相較於界定在其他的層204、206a、208、206b、及210中的特徵部,材料可優先沉積在底部電極層202。由於經蝕刻區域/底部電極層202與形成在底部電極層202上之特徵部之間的溫度差異,故可達成此種優先沉積。例如,底部電極層202維持比形成在此層上之特徵部更冷,係由於(1) 經冷卻之基板支持器、及(2) 撞擊在特徵部之側壁上的離子(導致特徵部升溫)。
在各種實施例中,選用以優先沉積的材料(1) 為非傳導性及/或(2) 當結合以下材料時形成非傳導性材料:(a) 底部電極層202之材料、(b) 第一磁性層206a之材料、及/或(c) 第二磁性層206b之材料。依此方式,在相鄰的特徵部中從底部電極層202及/或從磁性層206a/206b後濺的任何材料,相較於在缺乏沉積材料之情況下後濺的傳導性材料,將顯著較不會造成問題。結果為在穿隧介電層208上形成短路的風險顯著下降。
可優先沉積的例示性材料包括(但不限於)碳、SiO2 、SiN、SiC、SiCO、SiCN、及其組合。可用以形成此種材料的例示性反應物包括(但不限於) CH4 、 O2 、CO2 、CO、N2 、H2 S、SiH4 、及其組合。用以形成優先沉積材料的反應物可作為用以產生離子束的來源氣體的一部分而被輸送、或其可被直接輸送至反應腔室/基板,而毋須從反應物形成電漿。反應物可提供於惰性載氣中。若反應物作為用以產生離子束的來源氣體的一部分而被輸送,則來源氣體可包括濃度介於約0.5-10%(體積百分比)之間的反應物。
在某些實施例中,在操作324之後可完成方法320。因為相當少或無傳導性材料在操作324期間形成在穿隧介電層208的特徵部之側壁上,及/或因為操作324結果為在穿隧介電層208的特徵部之側壁上形成非傳導性材料,因此在操作324之後毋須氧化、移除、或緩和此種傳導性材料。然而,在一些例子中,方法320可繼續至操作326。此處,可選擇性地執行傳導性材料緩和步驟。操作326類似於圖3B中之操作316,且所提出之關於操作316的任何細節亦可應用於操作326。
圖3D與3E呈現使用反應性離子束蝕刻機制(利用反應性化學品之優先沉積)來蝕刻特徵部之方法的流程圖。參考圖3D,方法330起始於操作331,其中部分蝕刻基板中之特徵部。可使用任何蝕刻方法,包括(但不限於)本文中揭露之任何蝕刻方法。在操作333,蝕刻反應物被輸送至基板且被允許優先沉積在基板的局部蝕刻區域上,類似於所述之關於圖3C之操作324的優先沉積。由於局部蝕刻特徵部(由於離子碰撞故相對上較溫暖)與下層材料(由於冷卻的基板且缺乏離子碰撞故維持相對上較冷)之間的溫度差異,故可達成優先沉積。此溫度差異可允許蝕刻反應物集中在局部蝕刻特徵部的底部(此種蝕刻劑最被期望/有用之處)。可使用的例示性化學品包括(但不限於)含鹵化物化合物、金屬有機化合物等。
在一實施例中,在操作331完成之後開始進行操作333。在另一實施例中,操作331與333時間重疊。可以連續或週期性的方式完成操作331中的蝕刻。類似地,可以連續或週期性的方式完成操作333中的反應物輸送及/或離子束暴露。
圖3E呈現圖3D中呈現之方法330的具體實施例。圖3E之方法340起始於操作331,其中局部蝕刻基板上之特徵部。相同於圖3D之操作331,此蝕刻操作可為任何適當之蝕刻操作,包括本文中所述之任何蝕刻操作。在一範例中,操作331中的蝕刻如參考圖3A中操作302中之第一蝕刻所述般執行。在一些此種實施例中,操作331中的蝕刻不同於圖3A之操作302中所述之第一蝕刻之處在於:操作331中的蝕刻較不廣泛(因為在操作331中,特徵部僅被局部蝕刻)。在操作343,優先輸送蝕刻反應物至基板上的局部蝕刻區域(例如在蝕刻前緣、局部蝕刻特徵部之間)。由於經冷卻之基板支持器的結果,可達成優先反應物輸送。
參考圖2A中所示之基板200,在一範例中,操作331可涉及蝕刻穿過第二磁性層206b。結果為由層206b與210所形成之局部蝕刻特徵部,其中下方的穿隧介電層208暴露在相鄰的局部蝕刻特徵部之間。然後操作343可涉及輸送蝕刻反應物至基板,蝕刻反應物優先沉積在穿隧介電層208(由於此層相較於局部蝕刻特徵部(此時係由第二磁性層206b與遮罩層210所形成)較冷的溫度)的暴露表面上。
方法340繼續進行至操作345,其中將基板暴露至離子束以進一步蝕刻基板上的特徵部。因為,蝕刻反應物優先沉積在期望進行進一步蝕刻的區域,故此蝕刻處理可尤其有利於達成快速、高品質、非等向性蝕刻結果。在操作347,判定蝕刻處理是否完成。若是,則完成方法340並將基板移出以進行進一步處理。在操作347時特徵部尚未完全蝕刻的情況下,方法340可回到操作343,其中輸送另一蝕刻反應物至基板並允許其優先沉積,如上所述。可重複執行操作343中的反應物輸送與操作345中的離子束暴露直到特徵部完全蝕刻為止。操作343與345可時間重疊或未時間重疊。操作343可在操作331期間或之後執行。
圖3D與3E之方法的優點為蝕刻反應物集中在其最被期望/有用的區域。此特徵部可允許使用相對上較少的蝕刻反應物來使蝕刻處理發生,同時達到相同的蝕刻程度。再者,此特徵部可降低反應腔室由於暴露到嚴厲的蝕刻反應物而被損害的程度(因為使用相對上較少的蝕刻反應物且所使用的蝕刻反應物被集中在經冷卻的基板(而非較溫暖的腔室表面))。
在本文中所述之任一實施例中,除了基板支持器之外,可加熱反應腔室之表面(例如腔壁、噴淋頭、電極、天花板等)。在許多例子中,基板支持器本身亦能夠加熱。當實施圖3C-3E中之方法(受益於反應腔室中之溫度差異)時,使用經加熱之腔室表面可尤其有利。
再者,在本文中所述之任一實施例中,可使基板支持器之溫度在較高溫度與較低溫度之間循環。此種溫度循環可在特定操作期間進行,例如參考圖2D與圖3A-3E所述之任何操作。在這些或其它的例子中,溫度循環可在兩操作之間進行。例如,溫度可在參考圖2D與圖3A-3E所述之任兩操作之間循環。較高溫度可為足以將任何凝結的反應物從基板上驅逐的溫度,且可介於約25°C與120°C之間、或介於約 50°C 與110°C之間,取決於存在之反應物而定。較低溫度可為足夠低以實質上避免物種擴散進入基板上的材料/特徵部中的溫度,且可介於約-70°C與-10°C之間、或介於約 -50°C 與-20°C之間,取決於存在之反應物/材料而定。較高溫度與較低溫度之間的溫度差異可至少約30°C、至少約50°C、或至少約70°C。
在蝕刻處理完成之後,在將基板從反應腔室中移出之前,可將基板加熱。可在圖3A-3E中之任何方法之後執行此種加熱。可經由加熱基板支持器來進行此種加熱。例示性加熱速率可相同於或快於前文中討論之加熱速率。在將基板從反應腔室中移出之前將之加熱的一優點為降低當基板暴露到不同的處理環境/大氣下時在基板/特徵部上形成冰、水氣、及其他凝結材料的風險。任何此種凝結材料均可能使往後的處理操作複雜化(或甚至導致失敗),且亦可能導致最終的裝置損害。設備
本文中所述之方法可在任何適當的設備中執行。一適當的設備包括用以完成處理操作之硬體及具有用以根據本文中之實施例來控制處理操作之指令的系統控制器。例如,在一些實施例中,硬體可包括一或更多處理站(包括在一處理工具中)。一適當設備參考圖1描述於前文。
圖4提供基板支持器400之部分的簡化剖面圖。基板支持器400包括至少上板402與下板404,該兩者由一系列的帕耳帖裝置408隔開並與帕耳帖裝置408接觸。基板(未圖示)擱置在上板402。在下板404中可設置冷卻通道406。帕耳帖裝置408操作以將熱從上板402傳送至下板404(熱從此處移除)。在某些例子中,帕耳帖裝置408可用以反方向地傳送熱,例如當主動加熱基板時。關於溫控基板支持器的其他細節提供在前文中併入參考資料的美國專利申請案第13/908676號中。一替代的基板支持器設計(未圖示)可由上板402接觸下板404而組成,其中下板中的冷卻通道406提供冷媒在低溫溫度之下的循環,與本文之專利申請範圍一致。商業可購得之循環元件的一範例為美國馬薩諸塞州切姆斯福德(Chelmsford, MA)的Brooks Automation所製造之Polycold Cryochiller model “MaxCool 2500” 。為避免凝結或為本文中討論的其他原因,可透過進入在一時期中(基於超出的處理時間而不會妨礙其使用)升高支持器溫度的一模式來完成加熱基板。系統控制器
在一些實施例中,控制器可為或系統之一部分,系統可為上述範例之一部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器編寫程式以控制本文中所揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻,在一些例子中,經由基板支持器)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
上述之各種硬體與方法實施例可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。
薄膜的微影圖案化一般包含部分或所有下列操作(每一個操作係以若干合適的工具來達成):(1) 使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如具有矽氮化物薄膜形成於上方的基板)上;(2) 使用加熱板、或加熱爐、或其他適當的固化工具將光阻固化;(3) 以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4) 使用例如溼式清洗台或噴射顯影器之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5) 藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6) 使用例如RF或微波電漿光阻剝除機之工具將光阻移除。在一些實施例中,可在塗佈光阻劑之前先沉積可灰化硬遮罩層(例如非晶碳層)以及另一適當的硬遮罩(例如抗反射層)。
應瞭解,本文所述之配置及/或方法本質上為例示性, 且這些具體實施例或範例不被認為具有限制性,因為可能有許多變化。本文所述之具體例行工作或方法可呈現任何數量之處理策略中之一或更多者。因此,所描述之許多動作可以所描述之順序、以其他順序、平行地執行,或在一些例子中可省略。同樣地,可改變上述處理之順序。
本發明之標的包括各種製程、系統及形構、及本文揭露之其他特徵、功能、動作、及/或性質的所有新穎且非顯而易見的組合與次組合,以及任何其中之等效應用。
100‧‧‧設備101‧‧‧基板/晶圓103‧‧‧基板支持器105‧‧‧主要電漿產生區域107‧‧‧電漿來源109‧‧‧(擷取)電極110‧‧‧孔口111‧‧‧(聚焦)電極113‧‧‧(下)電極115‧‧‧基板處理區域200‧‧‧基板202‧‧‧底部電極層204‧‧‧蝕刻停止層206a‧‧‧磁性層206b‧‧‧磁性層208‧‧‧穿隧介電層210‧‧‧遮罩層250‧‧‧蝕刻處理/方法252‧‧‧操作254‧‧‧操作256‧‧‧操作302‧‧‧操作304‧‧‧操作306‧‧‧步驟/操作310‧‧‧方法316‧‧‧步驟/操作320‧‧‧方法324‧‧‧操作326‧‧‧操作330‧‧‧方法331‧‧‧操作333‧‧‧操作340‧‧‧方法343‧‧‧操作345‧‧‧操作347‧‧‧操作400‧‧‧基板支持器402‧‧‧上板404‧‧‧下板406‧‧‧冷卻通道408‧‧‧帕耳帖裝置w‧‧‧寬度
圖1圖解可用以實施某些實施例的反應腔室的簡化圖。
圖2A-2C描繪在蝕刻處理期間之不同時間點時的部分已製成半導體基板。
圖2D為描述用以蝕刻基板中之特徵部的多步驟方法之流程圖。
圖3A-3E為根據不同實施例描述用以蝕刻基板中之特徵部的各種多步驟方法之流程圖。
圖4呈現包括可用以在蝕刻處理期間冷卻基板的一系列帕耳帖裝置的基板支持器之部分的簡化剖面圖。
200‧‧‧基板
202‧‧‧底部電極層
204‧‧‧蝕刻停止層
206a‧‧‧磁性層
206b‧‧‧磁性層
208‧‧‧穿隧介電層
210‧‧‧遮罩層
w‧‧‧寬度

Claims (20)

  1. 一種蝕刻基板以形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置的方法,該方法包含下列步驟:接收該基板於一反應腔室中,該基板包含(i)一底部電極層;(ii)位在該底部電極層之上的一蝕刻停止層;(iii)位在該蝕刻停止層之上的第一磁性層;(iv)位在該第一磁性層之上的一穿隧介電層;(v)位在該穿隧介電層之上的第二磁性層;及(vi)一圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束;其中該第二離子束蝕刻操作係在較該第一離子束蝕刻操作低的離子能量之下執行;且其中該第一及/或該第二離子束蝕刻操作造成傳導性材料形成在該穿隧介電層之暴露部分上及/或該穿隧介電層之中;並且執行傳導性材料緩和操作,以緩和在該第一及/或該第二離子束蝕刻操作期間形成在該穿隧介電層之上或之中的該傳導性材料;其中緩和該傳導性材料包含移除該傳導性材料或使該傳導性材料較不具傳導性;其中該傳導性材料緩和操作包含將該基板暴露至離子束; 其中該傳導性材料緩和操作係在較該第二離子束蝕刻操作低的離子能量之下執行;且其中在該傳導性材料緩和操作期間,將一基板支持器維持在介於約-70℃與約-10℃之間的溫度。
  2. 如申請專利範圍第1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該第二離子束蝕刻操作期間,將該基板支持器之溫度維持在介於-70℃與10℃之間的溫度。
  3. 如申請專利範圍第1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該第二離子束蝕刻操作期間,將該基板支持器維持在介於約10℃與約120℃之間的溫度。
  4. 如申請專利範圍第1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該傳導性材料緩和操作期間,該離子束包含氧離子與惰性離子。
  5. 如申請專利範圍第1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該傳導性材料緩和操作期間,該離子束包含惰性離子,而不包含反應性離子。
  6. 如申請專利範圍第1至4項中任1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該傳導性材料緩和操作期間,該離子束包含惰性離子及選自由下列所組成之群組中的一或更多反應物:O2、CO、CO2、N2、及其組合。
  7. 如申請專利範圍第1至5項中任1項之蝕刻基板以形成STT-RAM裝置的方法,其中在該第一離子束蝕刻操作期間的離子能量介於約100-10,000eV之間,其中在該第二離子束蝕刻操作期間的離子能量介於約50-300eV之間,且其中在該傳導性材料緩和操作期間的離子能量介於約10-100eV之間。
  8. 如申請專利範圍第1至5項中任1項之蝕刻基板以形成STT-RAM裝置的方法,更包含在該第一離子束蝕刻操作之後、及在該第二離子束蝕刻操作之前,改變該基板與該離子束行進的方向之間的一相對方向。
  9. 一種蝕刻基板以形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置的方法,該方法包含下列步驟:接收該基板於一反應腔室中,該基板包含(i)一底部電極層;(ii)位在該底部電極層之上的一蝕刻停止層;(iii)位在該蝕刻停止層之上的第一磁性層;(iv)位在該第一磁性層之上的一穿隧介電層;(v)位在該穿隧介電層之上的第二磁性層;及(vi)一圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束,並優先沉積第一材料至在該第一離子束蝕刻操作期間被蝕刻的區域中;且其中在該第二離子束蝕刻操作期間,將一基板支持器維持在介於約-70℃與約10℃之間的溫度。
  10. 如申請專利範圍第9項之蝕刻基板以形成STT-RAM裝置的方法,其中(a)該第一材料為非傳導性,及/或(b)當結合(i)該底部電極層之材料、及/或(ii)該第一或該第二磁性層之材料時,該第一材料為非傳導性。
  11. 如申請專利範圍第10項之蝕刻基板以形成STT-RAM裝置的方法,其中該第一材料包含選自由下列所組成之群組中的一或更多材料:碳、SiO2、SiN、SiC、SiCO、SiCN、及其組合。
  12. 如申請專利範圍第10項之蝕刻基板以形成STT-RAM裝置的方法,更包含在該第二離子束蝕刻操作期間,將該第一材料濺射在該特徵部的側壁上。
  13. 如申請專利範圍第9至12項中任1項之蝕刻基板以形成STT-RAM裝置的方法,其中該第一材料為蝕刻反應物。
  14. 如申請專利範圍第13項之蝕刻基板以形成STT-RAM裝置的方法,其中在該第二離子束蝕刻操作期間,將該特徵部的側壁暴露到該離子束,同時將該第一材料沉積至在該第一離子束蝕刻操作期間被蝕刻的該區域中。
  15. 如申請專利範圍第13項之蝕刻基板以形成STT-RAM裝置的方法,其中該第二離子束蝕刻操作包含循環性地(a)沉積該第一材料並且(b)將該基板暴露到該離子束,其中(a)與(b)在時間上未重疊。
  16. 如申請專利範圍第13項之蝕刻基板以形成STT-RAM裝置的方法,其中該蝕刻反應物包含含鹵化物化合物及/或金屬有機化合物。
  17. 如申請專利範圍第9至12項中任1項之蝕刻基板以形成STT-RAM裝置的方法,更包含在該第一離子束蝕刻操作之後,改變該基板與該離子束行進的方向之間的一相對方向。
  18. 如申請專利範圍第17項之蝕刻基板以形成STT-RAM裝置的方法,其中改變該基板與該離子束行進的方向之間的相對方向包含傾斜該基板。
  19. 一種蝕刻基板並形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置的離子束蝕刻設備,包含:一反應腔室;一離子束產生器;一基板支持器,配置以加熱並冷卻基板;一控制器,配置有透過下列動作蝕刻該基板的指令:接收該基板於該反應腔室中,該基板包含(i)一底部電極層;(ii)位在該底部電極層之上的一蝕刻停止層;(iii)位在該蝕刻停止層之上的第一磁性層;(iv)位在該第一磁性層之上的一穿隧介電層;(v)位在該穿隧介電層之上的第二磁性層;及(vi)一圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;執行第二離子束蝕刻操作以縮小該基板上之該特徵部;該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束;其中該第二離子束蝕刻操作係在較該第一離子束蝕刻操作低的離子能量之下執行;且其中該第一及/或該第二離子束蝕刻操作造成傳導性材料形成在該穿隧介電層之暴露部分上及/或該穿隧介電層之中;並且執行傳導性材料緩和操作,以緩和在該第一及/或該第二離子束蝕刻操作期間形成在該穿隧介電層之上或之中的該傳導性材料; 其中緩和該傳導性材料包含移除該傳導性材料或使該傳導性材料較不具傳導性;其中該傳導性材料緩和操作包含將該基板暴露至離子束;其中該傳導性材料緩和操作係在較該第二離子束蝕刻操作低的離子能量之下執行;且其中在該傳導性材料緩和操作期間,將該基板支持器維持在介於約-70℃與約-10℃之間的溫度。
  20. 一種蝕刻基板並形成旋轉力矩轉移隨機存取記憶體(STT-RAM)裝置的離子束蝕刻設備,包含:一反應腔室;一離子束產生器;一基板支持器,配置以加熱並冷卻基板;一控制器,配置有透過下列動作蝕刻該基板的指令:接收該基板於一反應腔室中,該基板包含(i)一底部電極層;(ii)位在該底部電極層之上的一蝕刻停止層;(iii)位在該蝕刻停止層之上的第一磁性層;(iv)位在該第一磁性層之上的一穿隧介電層;(v)位在該穿隧介電層之上的第二磁性層;及(vi)一圖案化遮罩層;執行第一離子束蝕刻操作以界定該基板上之特徵部;該第一離子束蝕刻操作包含將該基板暴露至離子束以蝕刻穿過至少該第二磁性層、該穿隧介電層、及該第一磁性層;執行第二離子束蝕刻操作以縮小該基板上之該特徵部; 該第二離子束蝕刻操作包含將該特徵部之側壁暴露至離子束,並優先沉積第一材料至在該第一離子束蝕刻操作期間被蝕刻的區域中;且其中在該第二離子束蝕刻操作期間,將該基板支持器維持在介於約-70℃與約10℃之間的溫度。
TW106105506A 2016-02-25 2017-02-20 利用低溫晶圓溫度之離子束蝕刻 TWI723132B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/054,023 US9779955B2 (en) 2016-02-25 2016-02-25 Ion beam etching utilizing cryogenic wafer temperatures
US15/054,023 2016-02-25

Publications (2)

Publication Number Publication Date
TW201742146A TW201742146A (zh) 2017-12-01
TWI723132B true TWI723132B (zh) 2021-04-01

Family

ID=59678555

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106105506A TWI723132B (zh) 2016-02-25 2017-02-20 利用低溫晶圓溫度之離子束蝕刻

Country Status (4)

Country Link
US (2) US9779955B2 (zh)
KR (1) KR20170100435A (zh)
CN (2) CN107123733B (zh)
TW (1) TWI723132B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20200170081A1 (en) * 2018-03-16 2020-05-28 Nxp Usa, Inc. Heating appliance
KR102608957B1 (ko) 2018-08-27 2023-12-01 삼성전자주식회사 플라즈마 처리 장치
US10714680B2 (en) * 2018-08-27 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
CN115715141A (zh) * 2021-08-20 2023-02-24 江苏鲁汶仪器股份有限公司 一种降低mram磁隧道节损伤的方法
CN117373915B (zh) * 2023-12-08 2024-04-05 合肥晶合集成电路股份有限公司 半导体结构减薄方法及结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103620730A (zh) * 2011-05-03 2014-03-05 瓦里安半导体设备公司 用于控制抗蚀剂特征中的临界尺寸和粗糙度的方法和系统
TW201535811A (zh) * 2014-03-11 2015-09-16 Yasuyuki Sonoda 磁性記憶體及製造磁性記憶體之方法

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704511A (en) 1969-12-18 1972-12-05 Gen Electric Fly{40 s eye lens process
US3899711A (en) 1973-05-09 1975-08-12 Gen Electric Laminated multi-apertured electrode
US3969646A (en) * 1975-02-10 1976-07-13 Ion Tech, Inc. Electron-bombardment ion source including segmented anode of electrically conductive, magnetic material
JPS5223467A (en) 1975-08-14 1977-02-22 Matsushita Electric Ind Co Ltd Heat sensitive controlling device for use in cooking equipment
CA1157511A (en) 1978-11-08 1983-11-22 Sterling P. Newberry Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components
US4200794A (en) 1978-11-08 1980-04-29 Control Data Corporation Micro lens array and micro deflector assembly for fly's eye electron beam tubes using silicon components and techniques of fabrication and assembly
US4419580A (en) 1981-06-26 1983-12-06 Control Data Corporation Electron beam array alignment means
FR2581244B1 (fr) 1985-04-29 1987-07-10 Centre Nat Rech Scient Source d'ions du type triode a une seule chambre d'ionisation a excitation haute frequence et a confinement magnetique du type multipolaire
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR910016054A (ko) 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP2932650B2 (ja) 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
JP3109309B2 (ja) 1993-01-11 2000-11-13 日本電信電話株式会社 イオンビ―ムプロセス装置のプラズマ引出し用グリッド
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5675606A (en) 1995-03-20 1997-10-07 The United States Of America As Represented By The United States Department Of Energy Solenoid and monocusp ion source
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5656535A (en) 1996-03-04 1997-08-12 Siemens Aktiengesellschaft Storage node process for deep trench-based DRAM
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
AU4165599A (en) 1998-06-15 2000-01-05 Nikon Corporation Position sensing method, position sensor, exposure method, exposure apparatus, and production process thereof, and device and device manufacturing method
US6515426B1 (en) 1998-12-15 2003-02-04 Hitachi, Ltd. Ion beam processing apparatus and method of operating ion source therefor
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP3763446B2 (ja) 1999-10-18 2006-04-05 キヤノン株式会社 静電レンズ、電子ビーム描画装置、荷電ビーム応用装置、および、デバイス製造方法
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP5569353B2 (ja) 2000-04-28 2014-08-13 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100382720B1 (ko) * 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
CN2501657Y (zh) 2001-10-26 2002-07-24 凯崴电子股份有限公司 专用于多层配线基板钻孔作业的表面双层板
KR100412953B1 (ko) * 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
JP3906686B2 (ja) 2001-12-28 2007-04-18 三菱電機株式会社 多重グリッド光学システム及びその製造方法及びイオンスラスタ
JP3713683B2 (ja) 2002-03-05 2005-11-09 住友イートンノバ株式会社 イオンビームの質量分離フィルタとその質量分離方法及びこれを使用するイオン源
US6846726B2 (en) 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030224620A1 (en) 2002-05-31 2003-12-04 Kools Jacques C.S. Method and apparatus for smoothing surfaces on an atomic scale
US6911660B2 (en) 2002-10-02 2005-06-28 Varian Semiconductor Equipment Associates, Inc. Method of measuring ion beam angles
JP3893456B2 (ja) * 2002-10-18 2007-03-14 国立大学法人大阪大学 磁性メモリ及び磁性メモリアレイ
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
KR100923299B1 (ko) * 2003-01-28 2009-10-23 삼성전자주식회사 자기 램의 자기 터널 접합층 형성 방법
JP4233348B2 (ja) 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
WO2004107825A1 (ja) 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
JP2005004068A (ja) 2003-06-13 2005-01-06 Dainippon Printing Co Ltd スラント凹凸パターンの形成方法及びスラント凹凸パターンを有する基板
JP4052191B2 (ja) 2003-06-24 2008-02-27 株式会社島津製作所 複合成膜装置およびこれを用いた磁気ヘッドの保護膜形成方法
JP4142993B2 (ja) * 2003-07-23 2008-09-03 株式会社東芝 磁気メモリ装置の製造方法
WO2005043115A2 (en) 2003-10-20 2005-05-12 Ionwerks, Inc. Ion mobility tof/maldi/ms using drift cell alternating high and low electrical field regions
US7495241B2 (en) 2004-02-26 2009-02-24 Tdk Corporation Ion beam irradiation apparatus and insulating spacer for the same
US6956219B2 (en) 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4646730B2 (ja) 2005-08-05 2011-03-09 株式会社日立ハイテクノロジーズ プラズマ処理装置の表面異物検出装置および検出方法
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7294926B2 (en) 2005-09-22 2007-11-13 Delphi Technologies, Inc. Chip cooling system
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
KR100706809B1 (ko) 2006-02-07 2007-04-12 삼성전자주식회사 이온 빔 조절 장치 및 그 방법
US20090304924A1 (en) 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7935942B2 (en) * 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP2008174777A (ja) 2007-01-17 2008-07-31 Hitachi Kokusai Electric Inc 薄膜形成装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
WO2009045722A1 (en) 2007-09-28 2009-04-09 Varian Semiconductor Equipment Associates, Inc. Two-diemensional uniformity correction for ion beam assisted etching
KR100895630B1 (ko) 2007-10-01 2009-05-06 박흥균 전자빔 방출장치
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009193988A (ja) 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5530088B2 (ja) 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
TWI520660B (zh) 2009-04-03 2016-02-01 瓦里安半導體設備公司 保形沈積的方法以及在工件中蝕刻三維特徵的方法
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
SG10201401425RA (en) * 2009-04-13 2014-08-28 Applied Materials Inc Modification of magnetic properties of films using ion and neutral beam implantation
JP5174750B2 (ja) 2009-07-03 2013-04-03 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び荷電粒子線画像を安定に取得する方法
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
CN201544052U (zh) 2009-10-29 2010-08-11 天津市天发重型水电设备制造有限公司 一种可调式多层钻孔工装
JP5461148B2 (ja) 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR20110097193A (ko) 2010-02-25 2011-08-31 성균관대학교산학협력단 원자층 식각 장치
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5444044B2 (ja) 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP2012057251A (ja) 2010-08-13 2012-03-22 Toshiba Corp 保護膜とその形成方法、並びに半導体製造装置およびプラズマ処理装置
WO2012027330A1 (en) 2010-08-23 2012-03-01 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2012047914A2 (en) 2010-10-05 2012-04-12 Veeco Instruments, Inc. Grid providing beamlet steering
US20120255678A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
JP5785436B2 (ja) 2011-05-09 2015-09-30 キヤノン株式会社 荷電粒子線描画装置およびそのクリーニング方法ならびにデバイスの製造方法
JP2014209406A (ja) 2011-07-20 2014-11-06 キヤノンアネルバ株式会社 イオンビーム発生装置、およびイオンビームプラズマ処理装置
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
CN202291523U (zh) 2011-09-21 2012-07-04 四川佳兴钢结构工程有限公司 多层板钻孔装置
US8613863B2 (en) 2011-11-29 2013-12-24 Intermolecular, Inc. Methods for selective etching of a multi-layer substrate
US8895323B2 (en) 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
JP2013171925A (ja) 2012-02-20 2013-09-02 Canon Inc 荷電粒子線装置、それを用いた物品の製造方法
US8608973B1 (en) 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US9373775B2 (en) * 2012-09-13 2016-06-21 Micron Technology, Inc. Methods of forming magnetic memory cells
US9793098B2 (en) 2012-09-14 2017-10-17 Vapor Technologies, Inc. Low pressure arc plasma immersion coating vapor deposition and ion treatment
US9047906B2 (en) 2012-09-28 2015-06-02 Seagate Technology, Llc Dual-layer magnetic recording structure
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
TWI506680B (zh) * 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
JP5432396B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及びインジェクタ
JP2014183184A (ja) * 2013-03-19 2014-09-29 Tokyo Electron Ltd コバルト及びパラジウムを含む膜をエッチングする方法
US9564297B2 (en) 2013-05-16 2017-02-07 Applied Materials, Inc. Electron beam plasma source with remote radical source
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
KR102025256B1 (ko) * 2013-07-25 2019-09-26 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR101862632B1 (ko) 2013-09-25 2018-05-31 캐논 아네르바 가부시키가이샤 자기 저항 효과 소자의 제조 방법 및 제조 시스템
US9269542B2 (en) 2013-11-01 2016-02-23 Varian Semiconductor Equipment Associates, Inc. Plasma cathode charged particle lithography system
US9543110B2 (en) 2013-12-20 2017-01-10 Axcelis Technologies, Inc. Reduced trace metals contamination ion source for an ion implantation system
US9564582B2 (en) 2014-03-07 2017-02-07 Applied Materials, Inc. Method of forming magnetic tunneling junctions
KR102132215B1 (ko) * 2014-04-03 2020-07-09 삼성전자주식회사 자기 터널 접합 구조물 형성 방법 및 이를 이용한 자기 메모리 소자의 제조 방법
KR101529821B1 (ko) 2014-04-08 2015-06-29 성균관대학교산학협력단 반응성 이온빔 펄스를 이용한 mram 물질 식각 방법
US9287123B2 (en) 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
KR20160135044A (ko) 2015-05-15 2016-11-24 삼성전자주식회사 패턴 형성 방법, 이를 이용한 자기기억소자의 제조방법, 및 이를 이용하여 제조된 자기기억소자
JP6541439B2 (ja) 2015-05-29 2019-07-10 東京エレクトロン株式会社 エッチング方法
US9887350B2 (en) * 2015-05-31 2018-02-06 Headway Technologies, Inc. MTJ etching with improved uniformity and profile by adding passivation step
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
US9666792B2 (en) * 2015-08-12 2017-05-30 Qualcomm Incorporated Shadow-effect compensated fabrication of magnetic tunnel junction (MTJ) elements
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
KR102411080B1 (ko) 2015-09-02 2022-06-21 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 자기 메모리 장치의 제조 방법
US9705071B2 (en) * 2015-11-24 2017-07-11 International Business Machines Corporation Structure and method to reduce shorting and process degradation in STT-MRAM devices
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US20180233662A1 (en) 2017-02-14 2018-08-16 Lam Research Corporation Systems and methods for patterning of high density standalone mram devices
US20180286707A1 (en) 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
KR101939481B1 (ko) 2017-07-27 2019-01-16 성균관대학교산학협력단 이온빔 식각 장치
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103620730A (zh) * 2011-05-03 2014-03-05 瓦里安半导体设备公司 用于控制抗蚀剂特征中的临界尺寸和粗糙度的方法和系统
TW201535811A (zh) * 2014-03-11 2015-09-16 Yasuyuki Sonoda 磁性記憶體及製造磁性記憶體之方法

Also Published As

Publication number Publication date
TW201742146A (zh) 2017-12-01
US9779955B2 (en) 2017-10-03
CN110634726A (zh) 2019-12-31
US11289306B2 (en) 2022-03-29
CN110634726B (zh) 2022-05-31
CN107123733A (zh) 2017-09-01
US20170250087A1 (en) 2017-08-31
CN107123733B (zh) 2019-10-08
US20170372911A1 (en) 2017-12-28
KR20170100435A (ko) 2017-09-04

Similar Documents

Publication Publication Date Title
TWI723132B (zh) 利用低溫晶圓溫度之離子束蝕刻
TWI685032B (zh) 不需要晶圓傾斜或旋轉的離子束蝕刻
US10580628B2 (en) Differentially pumped reactive gas injector
CN107078049B (zh) 等离子体处理装置
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102525801B1 (ko) 게이트-올-어라운드 구조체를 생성하기 위한 이온 빔 에칭의 사용
KR102375658B1 (ko) 다층막을 에칭하는 방법
KR102270841B1 (ko) 반도체 제조를 위한 내부 플라즈마 그리드
US20200075346A1 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
JP6529357B2 (ja) エッチング方法
TW201528310A (zh) 用於具有雙電漿源反應器之晶圓處理的離子對中性物種控制
US10074800B2 (en) Method for etching magnetic layer including isopropyl alcohol and carbon dioxide
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
US10515788B2 (en) Systems and methods for integrated resputtering in a physical vapor deposition chamber
TW201705277A (zh) 處理基底的設備、系統及方法
US20190035604A1 (en) Solid-state source of atomic specie for etching
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩
CN111799170A (zh) 蚀刻方法和等离子体处理装置