TWI577013B - 具有共面下凹閘極層之半導體結構及製造方法 - Google Patents

具有共面下凹閘極層之半導體結構及製造方法 Download PDF

Info

Publication number
TWI577013B
TWI577013B TW104122119A TW104122119A TWI577013B TW I577013 B TWI577013 B TW I577013B TW 104122119 A TW104122119 A TW 104122119A TW 104122119 A TW104122119 A TW 104122119A TW I577013 B TWI577013 B TW I577013B
Authority
TW
Taiwan
Prior art keywords
gate
conformal
layer
layers
recess
Prior art date
Application number
TW104122119A
Other languages
English (en)
Other versions
TW201614834A (en
Inventor
克莉絲提娜 特拉維拉
源鴻 劉
加布里 帕德朗 威爾斯
興 張
翁孔成
常和 孟
太俊 韓
高瑞 卡馬爾西
伊莎貝勒 歐瑞恩
嘉納許 優帕雅亞
Original Assignee
格羅方德半導體公司
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司, 蘭姆研究公司 filed Critical 格羅方德半導體公司
Publication of TW201614834A publication Critical patent/TW201614834A/zh
Application granted granted Critical
Publication of TWI577013B publication Critical patent/TWI577013B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)

Description

具有共面下凹閘極層之半導體結構及製造方法
本申請通常涉及半導體裝置的製造,尤其是涉及形成具有共面下凹閘極層的方法以及由此形成的半導體結構。半導體結構,例如半導體裝置或積體電路,通常是從半導體晶圓大批量製造出來。積體電路製造通常涉及把導電材料沉積到中間電路結構中的適當配置開口內的過程,例如,利於形成該電晶體的閘極結構和/或接觸結構。這個過程常被稱為閘極金屬化或接觸金屬化處理。當電晶體的積體密度持續增加,便會希望減少或最小化在該閘極結構和/或接觸結構內的缺陷,以便最大化製造效率以及增強商業優勢。
通過本申請所提供的方法,其包含製造半導體結構,在一個方面,能克服現有技術的缺點並提供額外的優點。該製造方法包括:在半導體基板上提供閘極結構,該閘極結構包括多個共形閘極層和設置在該多個共形閘極層內的閘極材料;凹入該多個共形閘極層的一部分至 該閘極結構的上表面的下方,其中該凹陷的多個共形閘極層為共平面;以及去除該閘極材料的一部分以利於該閘極材料的剩餘部分的上表面與該凹陷的多個共形閘極層共平面。
在進一步的方面,一種結構包括:半導體結構,該半導體結構包括半導體基板;設置在該半導體基板上的閘極結構,該閘極結構包括凹陷的多個共形閘極層,其中多個共形閘極層是凹入至該閘極結構的上表面的下方,且該凹陷的多個共形閘極層的上表面為共平面;以及設置在該凹陷的多個共形閘極層內的下凹閘極材料,其中該下凹閘極材料的上表面是與該凹陷的多個共形閘極層共平面。
在另一態樣中,一種結構包括:半導體結構,該半導體結構包括,半導體基板,設置在該半導體基板上的閘極結構,該閘極結構包括凹陷的多個共形閘極層,其中多個共形閘極層是凹入至該閘極結構的上表面下方,且該凹陷的多個共形閘極層的上表面為共平面;以及設置在該凹陷的多個共形閘極層內的閘極材料,其中該閘極材料的上表面是設置在該閘極結構的上表面上。
通過本申請的技術可實現額外的特徵與優點。本申請的其他實施例及態樣會在本文中詳細描述,且被認為是本申請所要求保護的一部分。
100‧‧‧半導體結構
102‧‧‧半導體基板
104‧‧‧側壁間隔物
105‧‧‧介電材料層
106‧‧‧閘極結構
107‧‧‧閘極層
108‧‧‧閘極介電層
110‧‧‧功函數層
112‧‧‧閘極材料
114‧‧‧上表面
200‧‧‧半導體結構
202‧‧‧基板
204‧‧‧側壁間隔物
206‧‧‧介電材料層
208‧‧‧閘極結構
210‧‧‧閘極層
210'‧‧‧下凹閘極層
212‧‧‧閘極介電層
212'、212"‧‧‧下凹閘極介電層
214‧‧‧功函數層
214'‧‧‧下凹功函數層
216‧‧‧閘極材料
216'‧‧‧下凹閘極材料
218‧‧‧上表面
220‧‧‧上表面
222‧‧‧上表面
224‧‧‧上表面
本申請的一或多個態樣是特別指出並明確要求保護作為說明書結論中的申請專利範圍第的例子。本申請的前述與其他目標、特徵和優點從下文詳細描述配合附圖會是顯而易見的,其中:第1圖顯示現有技術的半導體結構使用後閘極方法的一個實施例;第2A圖顯示參照本申請的一或多個態樣,在半導體製造過程中得到的半導體結構的一實施例的剖面正視圖;第2B圖顯示參照本申請的一或多個態樣,在凹入功函數層以及部分凹入閘極介電層後第2A圖的半導體結構;第2C圖顯示參照本申請的一或多個態樣,在凹入閘極介電層以與下凹功函數層共平面後第2B圖的半導體結構;以及第2D圖顯示參照本申請的一或多個態樣,在凹入閘極材料以與下凹功函數層及下凹閘極介電層共平面後第2C圖的半導體結構。
本申請的各態樣和某些特徵、優點及其細節,在下文參照附圖中示出的非限制性實施例被更為充分解釋。已知材料、製造工具、加工技術等描述,會省略以便不會不必要地模糊本申請的細節。然而,應當被理解的是,詳細的描述和具體的例子在當指示出本申請的實施例 時,僅是通過說明方式示出,而非通過限制的方式。在本申請基本概念的精神及範圍內的各種替換、修改、添加和/或佈置,對於那些本申請領域中的技術人士將會是顯而易見的。
在一態樣中,在半導體結構的製造過程中,像是半導體組件或積體電路,可採用後閘極或替代金屬閘極(RMG)處理來製造一或多個閘極結構。在RMG過程中,在半導體基板上形成犧牲閘極結構並圖案化。例如,該犧牲閘極結構,其可包括犧牲閘極材料或由其製成,在一例子中像是非晶矽(a-Si)或多晶矽,會保留該位置以讓隨後的該金屬閘極(RMG)電極形成。側壁間隔物可以隨後沿著該犧牲閘極結構的側壁而形成,然後n型和p型接點的形成。隨後可在該犧牲閘極結構上提供一層介電材料,像是金屬間電介質(ILD)並且使用,例如化學機械拋光製程(CMP)來平坦化,從而暴露出該犧牲閘極結構的上表面。該暴露出的犧牲閘極結構可去除以在該半導體基板上形成閘極開口,在其內的替代金屬閘極結構可隨後被提供。
一種RMG閘極結構(例如,層狀閘極結構)可設置在該閘極開口內。該層狀閘極結構可包括,例如,共形地設置在該閘極開口內的一或多個閘極層以及設置在該一或多個閘極層內的閘極材料。例如,該一或多個閘極層可包括閘極介電層,以及共形地設置在該閘極介電層上的一或多個功函數層。閘極材料,其可包括金屬像是鎢或由其製成,可設置在該一或多個閘極層內。當電晶體的積 體密度持續增加,該閘極結構橫跨該半導體晶圓的高度均勻性是相當重要的。例如,閘極高度變化,在製造過程的一或多個處理步驟中,可能會導致該接觸結構的高度變化,並隨後在該替代閘極結構上製造。這些所得到的接觸結構的高度變化,反過來,可能會導致問題,像是例如,所得到的電晶體在電性特性的衰減。此外,閘極高度變化,在製造過程的一或多個處理步驟中,可能會導致不希望的電噪聲(electrical noise),這可能會導致所得到的(多個)半導體組件的性能下降。
通過舉例的方式,第1圖示出半導體結構100的實施例,在半導體結構製造過程中所得到者。
在所示出的例子中,半導體結構100包括基板102,像是半導體基板。在一例子中,基板102可以是塊狀半導體材料,像是塊狀矽晶圓。作為另一個例子,基板102也可包括任何含矽基板,包括但不限於,單晶矽(Si)、懸空矽(SON)、絕緣體上覆矽(SOI)或替代絕緣體上覆矽(SRI)或其類似者。
注意第1圖所示的半導體結構100可以在替代金屬閘極的製造過程中獲得,並且表示出在該犧牲閘極材料與在該犧牲閘極材料底層的薄氧化層已被去除之後所得到的結構實施例。本領域的技術人員將注意到薄氧化層(也稱為襯墊氧化物,未示出)通常是設置在基板102上,以在隨後的製程中保護該基板。犧牲閘極材料(也未示出),像是例如,非晶矽,也可提供在該薄氧化層上,來為 隨後的金屬閘極電極形成而保留該閘極位置。該薄氧化層和犧牲閘極材料的部分可以用傳統蝕刻製程來圖案化,以定義出犧牲閘極結構(未示出)。該蝕刻製程可包括傳統的非等向性乾蝕刻製程,例如,反應離子蝕刻或等向性濕蝕刻製程。
沿著該犧牲閘極結構(未示出)提供側壁間隔物104。這些側壁間隔物104是沿著該犧牲閘極結構的該側壁形成的薄膜層(或隔離物)。側壁間隔物104可用傳統沉積製程來沉積,像是化學氣相沉積(CVD)、低壓CVD或等離子加強CVD(PECVD)。在一個例子中,側壁間隔物104可具有常規的厚度,,並且包括像是氮化矽的材料或由其製成。
介電材料層105可沉積在基板102上,例如,沿著側壁間隔物104。在一個例子中,介電材料層105可包括在可用氧化物範圍內相對低質量的可流動氧化物材料或由其製成,並且可用任何傳統的沉積製程來沉積,像是化學氣相沉積製程(CVD)。在另一例子中,介電材料層105可包括但不限於,氧化矽(SiO2)和氟化矽玻璃(FSG)。
該犧牲閘極結構(未示出),包括該犧牲閘極材料和薄氧化層,隨後用一或多道的蝕刻製程來去除,以在介電材料層105內形成一或多個閘極開口(未示出)。任何合適的蝕刻製程,像是非等向性乾蝕刻製程,例如反應離子蝕刻,可被用來選擇性地去除該犧牲閘極結構以定義閘極開口。
可在介電材料層105內(例如在側壁間隔物104內)提供一或多個閘極結構106於所選擇的位置,以作為,例如,在半導體結構100內製造的電晶體的閘極。閘極結構106可包括設置在於介電材料層105內圖案化的一或多個閘極開口內的一或多個閘極層107。該一或多個閘極層107可包括,例如,閘極介電層108,以及設置在閘極介電層108上的一或多個功函數層110。注意這些閘極層的一或多個可用各種不同材料和技術來形成,像是例如,原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)和這些相同製程的等離子加強版。這些層的厚度也可以變化,取決於特定的應用。
閘極製造進一步包括提供閘極材料112於該一或多個閘極層107上,其在一實施例中,可當作替代閘極材料為後閘極製造過程的一部分。在一個例子中,該替代閘極材料可包括例如鎢的材料或由其製成。
繼續參照第1圖,可進行一或多個微影蝕刻製程以凹入一或多個閘極層107的一部分,連同設置在其中的閘極材料112的一部分,至閘極結構106的上表面114下方。閘極層107和閘極材料112的凹陷利於隔離硬遮罩層(未示出),其可在隨後的沉積製程中設置。雖然未示出,本領域的技術人員將知道這些微影蝕刻製程可包括(例如)凹入閘極材料112的一部分至閘極結構106的上表面114下方,凹陷功函數層110的一部分,並且隨後凹陷閘極介電層108的一部分。注意這些微影蝕刻製程的進行可採 用,例如,使用一或多個蝕刻化學物的等向性濕蝕刻或非等向性乾蝕刻。作為兩階段蝕刻製程的一部分,閘極材料112可從閘極結構106內凹陷,導致暴露出底層的閘極層(例如,功函數層110和閘極介電層108)。注意該蝕刻製程通常會延長到也從閘極結構106內凹陷底層的功函數層和閘極介電層。用以(部分)進行蝕掉閘極材料112、功函數層110和閘極介電層108的這個未選擇的過度蝕刻製程可能不利地導致過度蝕刻該功函數層,與閘極介電層108和閘極材料112相比,並且從而導致該凹陷的閘極結構內的高度變化。如上所述,這些高度變化可能會導致不希望的電噪聲,這可能導致所得到的(多個)半導體組件的性能下降。
為了解決這些問題,本申請在一態樣中,為製造具有下凹閘極層(例如,閘極介電層和功函數層)以及具有共面上表面的下凹閘極材料的半導體結構的方法。 該製造方法包括,例如:在半導體基板上提供閘極結構,該閘極結構包括多個共形閘極層以及設置在該多個共形閘極層內的閘極材料;凹入該多個共形閘極層的一部分至該閘極結構上表面的下方,其中該凹陷的多個共形閘極層的上表面為共平面;以及去除該閘極材料的一部份以利於該閘極材料的剩餘部分的上表面與該凹陷的多個共形閘極層的上表面共平面。
在一實施例中,提供包括提供該多個共形閘極層的至少一個共形閘極層,而該凹陷包括凹入該多個共形閘極層的至少一個共形閘極層的一部分,在去除設置 在該閘極結構內的該閘極材料之前。在另一實施例中,該多個共形閘極層包括共形地設置在該閘極結構內的閘極介電層,以及共形地設置在該閘極介電層上方的功函數層,而該凹陷包括從該閘極結構內凹入該功函數層的一部分,在凹入該閘極介電層之前。注意該凹陷的多個共形閘極層,例如,能為下凹功函數層或下凹閘極介電層的其中之一。
在一態樣中,該凹陷包括在該功函數上進行第一凹入製程,並隨後在該閘極介電層上進行第二凹入製程。通過示例的方式,在該功函數上的第一凹入製程可在第一段時間區間中進行,而在該閘極介電層上的第二凹入製程可在第二段時間區間中進行,該第二段時間區間比該第一段時間區間更長。該凹陷可進一步包括使用相同的蝕刻化學物,例如,採用三氯化硼氣體來進行該功函數層的第一凹入製程和進行該閘極介電層的第二凹入製程。在一例子中,該閘極介電層可包括像是氧化鉿(HfO2)高k介電材料或由其製成,而該功函數層可包括像是鈦、鉭、鋁的或其組合物的金屬或由其製成。
在另一態樣中,該方法包括該多個共形閘極層(例如,功函數層和閘極介電層)的凹陷,使用第一蝕刻化學物,例如三氯化硼氣體來執行,以及該閘極介電層的去除,使用第二蝕刻化學物,例如四氯化矽來執行。注意在一例子中,該閘極材料包括金屬,像是鎢。
通過示例的方式,第2A圖到2D顯示出製 造具有下凹閘極層(例如,閘極介電層和功函數層)以及具有共面上表面的下凹閘極材料的半導體結構的方法的詳細實施例,根據本申請的一或多個態樣。
第2A圖示出一實施例是在半導體結構製造過程中有用的半導體結構200,按照本申請的一或多個態樣。在所示的例子中,半導體結構200包括基板202,像是半導體基板。在一個例子中,基板202可以是塊狀半導體材料,像是大塊矽晶圓。如同另一例子,基板202可包括或為含矽基板,包括但不限於、矽(Si)、單晶矽、多晶矽、非晶矽、懸空矽(SON)、絕緣體上覆矽(SOI)或替代絕緣體上覆矽(SRI)基板等等。基板202可替代地包括各種隔離物、摻雜物和/或組件特徵。例如,基板202可包括其他合適的元素半導體像是例如,鍺(Ge)晶體,或化合物半導體像是碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GAP),磷化銦(InP),砷化銦(InAs),和/或銻化銦(InSb)。
如上所述,半導體結構200顯示在第2A圖,可在替代金屬閘極製造過程中獲得,並表示出在該犧牲閘極材料和在該犧牲閘極材料底層的薄氧化層被去除以後所得到的結構實施例。本領域的技術人士將注意薄氧化層(也稱為襯墊氧化物,未示出)通常是設置在基板202上,以在隨後的製程中保護該基板。犧牲閘極材料(也未示出),像是例如非晶矽,也可以設置在該薄氧化層上,以為隨後形成的金屬閘極電極保留該閘極位置。該薄氧化層和犧牲閘極材料的一部分可用傳統蝕刻製程來圖案化,以定 義出犧牲閘極結構(未示出)。該蝕刻製程科包括傳統的非等向性乾蝕刻製程,例如,反應離子蝕刻或等向性濕蝕刻製程。
沿著該犧牲閘極結構(未示出)設置側壁間隔物204(在一實施例中)。這些側壁間隔物204是沿著該犧牲閘極結構的側壁形成的薄膜層(或隔離物)。側壁間隔物204可用傳統沉積製程來沉積,像是化學氣相沉積(CVD)、低壓CVD或等離子加強CVD(PE-CVD)。在一例子中,側壁間隔物204,可具有常規厚度,並且包括像是氮化矽的材料或由其製成。
介電材料層206可以設置在基板202上,例如,沿著側壁間隔物204。在一個例子中,介電材料層206可包括在可用氧化物範圍內相對低質量的可流動氧化物材料或由其製成,並且可用任何傳統的沉積製程來沉積,像是化學氣相沉積製程(CVD)。在另一例子中,介電材料層206可包括但不限於,氧化矽(SiO2)和氟化矽玻璃(FSG)。
該犧牲閘極結構(未示出),包括該犧牲閘極材料和薄氧化層,隨後用一或多道的蝕刻製程來去除,以在介電材料層206內形成一或多個閘極開口(未示出)。任何合適的蝕刻製程,像是非等向性乾蝕刻製程,例如反應離子蝕刻,可被用來選擇性地去除該犧牲閘極結構以定義閘極開口。
可在介電材料層206內(例如在側壁間隔物104內)提供一或多個閘極結構208於所選擇的位置,以作 為,例如,在半導體結構200內製造的電晶體的閘極。閘極結構208可包括共形地設置在於介電材料層206內圖案化的一或多個閘極開口內的一或多個閘極層210。該一或多個共形閘極層210可包括,例如,設置在側壁間隔物204內並延伸於其上的閘極介電層212,以及設置在閘極介電層212上的一或多個功函數層214。注意這些閘極層的一或多個可用各種不同材料和技術來形成,像是例如,原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)和這些相同製程的等離子加強版。這些層的厚度也可以變化,取決於特定的應用。雖然下文數字是相對的,且共形閘極層210的高度可以變化,作為一特定的例子,閘極介電層212和功函數層214可具有約70奈米到130奈米的高度範圍。
通過示例方式,閘極介電層212可包括氧化材料,像是具有介電常數k大於約,例如3.9(注意當為SiO2時是3.9)的高k介電材料,並且可以藉由進行合適的沉積製程來沉積,像是原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)等等。高k介電材料可作為閘極介電層的例子包括,但不限於,氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭氧化物和鈮酸鉛鋅。如所指出,可在閘極介電層212上共形地沉積一或多個功函數層214,例如,經由沉積製程像是ALD、CVD或PVD。功函數層214可包括例如,氮 化鈦(TiN),氮化鉭(TaN),氮化鈦鋁(TiAlN)等,氮化鉭鋁(TaAlN),氮化鈮(NbN等),氮化釩(VN),氮化鎢(WN)等,或由其製成。
閘極製造過程進一步包括在一或多個閘極層210上設置閘極材料216,在一個實施例中,可以是替代閘極材料作為後閘極製造過程的一部分。在一例子中,該替代閘極材料可包括金屬或由其製成,例如鎢、鋁或銅。 非選擇性化學機械拋光可用來拋光多餘的閘極材料216,該化學機械拋光止於功函數層214的上表面,從而導致閘極材料216的上表面基本上與功函數層214的上表面共平面。在一個例子中,設置在該閘極結構內的閘極材料216可具有約60奈米到130奈米的高度範圍。
如第2B圖所示,可進行一或多道微影蝕刻製程來選擇性凹入閘極層210的一部分,例如,從閘極結構208內凹入功函數層214(見第2A圖)。在本申請的一實施例中,功函數層214(見第2A圖)可經由任何合適的去除製程來凹陷,像是具有蝕刻劑的蝕刻製程,能夠選擇性地針對,例如功函數層214(見第2A圖)和閘極介電層212(見第2A圖)的材料,而不會針對閘極材料216,使得該蝕刻製程停在閘極結構208的上表面下方的期望高度,如圖所示。注意該蝕刻製程利於去除從閘極結構208的上表面以及從該閘極結構內的該功函數層的一部分,以創造下凹功函數層214',從而暴露底層的閘極介電層212(見第2A圖)。通過示例的方式,蝕刻可以是非等向性蝕刻,像是反應離 子蝕刻(RIE),使用適當的化學物(例如,使用第一蝕刻化學物),這取決於被蝕刻層的材料。在一個例子中,使用氯基化學物和氣體進行非等向性蝕刻乾蝕刻製程,像是三氯化硼(BCl3)和氧氣(O2),在惰性氣體的存在下,像是氦氣(He),以每分鐘約40奈米到80奈米的蝕刻速率在約30秒到100秒的第一段時間區間中。在一個特定的例子中,該功函數層可以用三氯化硼((BCl3)和氧氣(O2)凹入,在惰性氣體的存在下,像是氦氣,以每分鐘約60奈米的蝕刻速率在約40秒的時間區間。通過示例的方式,功函數層214'從閘極結構上表面算起的凹陷高度,例如可約20到40奈米,在短通道和長通道長度的情況下。要注意的是,在一個實施例中,凹陷的功函數層214'剩餘在閘極結構208內的高度可以在約40到100奈米的範圍,在短通道和長通道中。該蝕刻製程也利於部分地凹入閘極介電層212從閘極結構208上表面露出的部分,創造出在閘極結構208內的部分下凹閘極介電層212'。在一個例子中,閘極介電層212'從閘極結構206上表面凹陷的深度(B)可約為7奈米到15奈米。
在一個實施例中,為減少閘極層210的高度變化,並且因此提供更為共面的上表面,採用一或多道的微影蝕刻製程去凹入上述的功函數層(例如,關於第2B圖),可以延長到進一步從閘極結構208內凹入部分下凹閘極介電層212'(見第2B圖),從而在閘極結構208內產生下凹閘極介電層212"。結果是下凹閘極介電層212"的上表面 218和下凹功函數層214'的上表面220基本上是共平面的,如第2C圖所示。例如,部分下凹閘極介電層212'(見第2C圖)可進一步採用非等向性乾蝕刻製程來凹陷,使用氯基化學物和氣體像是三氯化硼(BCl3)和氧氣(O2),在惰性氣體的存在下,像是氦氣(He),以每分鐘約10奈米到20奈米的蝕刻速率在約40秒到120秒的第二段時間區間中。 在一特定實施例中,部分下凹閘極介電層212"可進一步使用三氯化硼((BCl3)和氧氣(O2)凹入,在惰性氣體的存在下,像是氦氣,以每分鐘約15奈米的蝕刻速率在約60秒的時間區間。注意進行凹陷閘極介電層212所採用的第二段時間區間(見第2A圖)基本上可長於進行凹陷功函數層214所採用的第一段時間區間,且可以為例如約20秒到100秒的範圍。此外,要注意用以進行凹陷閘極介電層212的該蝕刻率(見第2A圖)基本上可短於用以進行凹陷功函數層214的蝕刻率。通過示例的方式,閘極介電層212"從閘極結構208上表面凹陷的深度(B),例如,基本上可相似於功函數層214'的凹陷深度(A),並且可約為,例如20到40奈米,在短通道和長通道長度的情況下。
如第2D圖所示,可進行一或多個微影蝕刻製程以選擇性地去除閘極材料216(見第2C圖)的一部分,使用(在一實施例中)下凹閘極層210'作為蝕刻停止層,導致在閘極結構208內產生下凹閘極材料216',按照本申請的一或多個態樣。注意,有利的是,在高度上沒有變化,如第2D圖所示,在下凹閘極材料216'的上表面222和下凹 閘極層210'的上表面224,因為前述的下凹閘極層的上表面是共平面。去除製程可藉由任何適當製程來完成,像是具有蝕刻劑的蝕刻製程以,例如,閘極材料216(見第2C圖),但不凹入閘極層210',所以該蝕刻停止在該下凹閘極層的上表面。通過示例的方式,蝕刻製程可以是非等向性蝕刻製程,向是反應離子蝕刻(RIE),使用適當的化學物(例如,使用第二化學物),這取決於被蝕刻的閘極材料。在一個例子中,非等向性乾蝕刻可用氯基化學物和氣體來進行,像是四氯化矽(SiCl4)、氯氣(Cl2)和三氟化氮(NF3),在惰性氣體的存在下,像是氧氣(O2)、氮氣(N2)和氦氣(He),以每分鐘約50奈米到70奈米的蝕刻速率在約10秒到30秒的時間區間。在一特定例子中,該閘極材料可用四氯化矽(SiCl4)、氯氣(Cl2)和三氟化氮(NF3)來凹陷,在惰性氣體的存在下,像是氧氣(O2)、氮氣(N2)和氦氣(He),以每分鐘約50奈米的蝕刻速率在約12秒的時間區間。注意在一實施例中,用以進行凹陷該閘極層的第二蝕刻化學物基本上可不同於用以進行去除該閘極層的一部分的第一蝕刻化學物。注意在一實施例中,該蝕刻製程可利用控制製程參數來均勻地從各方向蝕刻該閘極材料,從而控制下凹閘極材料216'的深度(C)。通過示例的方式,閘極材料216'從閘極結構208上表面的凹陷深度(C),例如,在短通道長度的情況下可在約15到40奈米的範圍,以及在長通道長度的情況下可在0到20奈米的範圍。
有利的是,本申請所提供的方法和所得到 的結構有利促進製造具有下凹閘極層(例如,閘極材料層和一或多個功函數層)以及在一或多個閘極結構的上表面內具有共面上表面的下凹閘極材料的半導體結構。下凹閘極層和下凹閘極材料的共面性有利地促進短通道和長通道組件的“負載效應”,反過來,改善所得到的電晶體的整體閘極電阻。這種所得到的閘極結構的一致性和共面性進一步防止該閘極結構和該接觸結構在隨後的源極和汲極接觸蝕刻製程期間產生電短路。另外,本申請導致改善該整體後閘極製造過程的邊緣化。
這裏使用的術語僅用於描述特定實施例的目的,而不是意在限制本申請。如本文所使用的單數形式“一”,“一個”和“該”也意圖包括複數形式,除非上下文清楚地指出。這將進一步理解該術語“包括”(以及任何形式的包括,例如“包含”和“包括”),“具有”(以及任何形式的具有,例如“有”和“具有”),“包括”(以及任何形式的包括,例如“包含”和“包括”),以及“包含”(以及任何形式的包含,例如“包含”和“含有”)是開放式系動詞。其結果是,一個方法或裝置“包括”,“具有”,“包括”或“包含”具有那些一個或多個步驟或組件的一或多個步驟或組件,但並不限於於擁有僅僅這些一個或多個步驟或組件。同樣地,方法的步驟或裝置“包括”,“具有”,“包含”的元素或“包含”一個或多個特徵具有那些一個或多個特徵,但不限於只擁有那些一個或更多的功能。此外,一個裝置或結構,其被配置以某種 方式被配置在至少這種方式,但也可以以未列出的方式來配置。
相應的結構、材料、行為以及在申請專利範圍第書中的所有裝置或步驟限定用語組件,如果有的話,旨在包括用於執行與其它作為具體要求的請求組件結合的功能的任何結構、材料或行為。本申請的描述已經呈現用於說明和描述,但並非意在窮舉或限制本申請於所公開的形式。在不脫離本申請的範圍和精神下,許多修改和變化對本領域的普通技術人員將是顯而易見。實施例的選擇和描述是為了更佳地解釋本申請和實際應用的一個或多個態樣的原理,以及使本領域的技術人員能夠理解本申請的一個或多個態樣的具有適合於預期的特定用途的各種不同修改的實施方案。
208‧‧‧閘極結構
210'‧‧‧下凹閘極層
216'‧‧‧下凹閘極材料
222‧‧‧上表面
224‧‧‧上表面

Claims (20)

  1. 一種製造半導體結構之方法,包括:提供閘極結構覆於半導體結構上,該閘極結構包括多個共形閘極層和設置在該多個共形閘極層內的閘極材料;凹入該多個共形閘極層的一部分至該閘極結構的上表面下方,其中該凹陷的多個共形閘極層的上表面為共平面;以及去除該閘極材料的一部分以利於該閘極材料的剩餘部分的上表面與該凹陷的多個共形閘極層的上表面共平面。
  2. 如申請專利範圍第1項所述的方法,其中,提供該閘極結構包括提供該多個共形閘極層的至少一共形閘極層,以及凹入該多個共形閘極層包括在去除設置在該閘極結構內的該閘極材料之前,凹入該多個共形閘極層的該至少一共形閘極層的一部分。
  3. 如申請專利範圍第1項所述的方法,其中,該多個共形閘極層包括共形地設置在該閘極結構內的閘極介電層,以及共形地設置在該閘極介電層上方的功函數層,而凹入該多個共形閘極層包括在凹入該閘極介電層之前,從該閘極結構內凹入該功函數層的一部分。
  4. 如申請專利範圍第3項所述的方法,其中,該凹陷的多個共形閘極層包括下凹功函數層或下凹閘極介電層的其中之一。
  5. 如申請專利範圍第3項所述的方法,其中,凹入該多個共形閘極層包括進行第一凹入製程,和進行第二凹入製程,該第一凹入製程是在該功函數層上進行,以及該第二凹入製程是在該閘極介電層上進行。
  6. 如申請專利範圍第5項所述的方法,其中,該第一凹入製程是在第一段時間區間進行,且該方法進一步包括在第二段時間區間進行該第二凹入製程,該第一段時間區間與該第二段時間區間是不同的時間區間,且該第二段時間區間比該第一段時間區間更長。
  7. 如申請專利範圍第5項所述的方法,其中,凹入該多個共形閘極層進一步包括進行該功函數層的該第一凹入製程,以及用相同的蝕刻化學物質進行該閘極介電層的該第二凹入製程。
  8. 如申請專利範圍第7項所述的方法,其中,該相同的蝕刻化學物是採用三氯化硼氣體來進行。
  9. 如申請專利範圍第3項所述的方法,其中,該閘極介電層包括高k閘極介電層,且其中該功函數層包括金屬功函數層。
  10. 如申請專利範圍第1項所述的方法,其中,該方法包括用第一蝕刻化學物進行凹入該多個共形閘極層,以及用第二蝕刻化學物進行去除該閘極材料,該第一蝕刻化學物和該第二蝕刻化學物採用不同的蝕刻化學物。
  11. 如申請專利範圍第10項所述的方法,其中,該第一蝕 刻化學物是採用三氯化硼進行,而該第二蝕刻化學物是採用四氯化矽進行。
  12. 如申請專利範圍第1項所述的方法,其中,該閘極材料包括金屬閘極材料。
  13. 一種半導體結構,包括:半導體基板;設置在該半導體基板上的閘極結構,該閘極結構包括凹陷的多個共形閘極層,其中該凹陷的多個共形閘極層是凹入至該閘極結構的上表面的下方,且該凹陷的多個共形閘極層的上表面是共平面的;以及設置在該凹陷的多個共形閘極層內的下凹閘極材料,其中該下凹閘極材料的上表面是與該凹陷的多個共形閘極層的上表面共平面。
  14. 如申請專利範圍第13項所述的結構,其中,該凹陷的多個共形閘極層包括下凹閘極介電層,且其中該結構進一步包括設置在該下凹閘極介電層上的下凹功函數層,該閘極介電層的上表面是與該下凹功函數層的上表面共平面。
  15. 如申請專利範圍第14項所述的結構,其中,該下凹閘極介電層包括高k閘極介電層,且其中該下凹功函數層包括金屬功函數層。
  16. 如申請專利範圍第13項所述的結構,其中,該下凹閘極材料包括金屬閘極材料。
  17. 一種半導體結構,包括: 半導體基板;設置在該半導體基板上的閘極結構,該閘極結構包括凹陷的多個共形閘極層,其中該凹陷的多個共形閘極層凹入至該閘極結構的上表面的下方,且該凹陷的多個共形閘極層的上表面是共平面;以及設置在該凹陷的多個共形閘極層內的閘極材料,其中該閘極材料的最上方表面是設置在該閘極結構的上表面的上方。
  18. 如申請專利範圍第17項所述的結構,其中,該凹陷的多個共形閘極層包括下凹閘極介電層,且其中該結構進一步包括設置在該下凹閘極介電層上的下凹功函數層,該閘極介電層的上表面是與該下凹功函數層的上表面共平面。
  19. 如申請專利範圍第17項所述的結構,其中,該下凹閘極介電層包括高k閘極介電層,且其中該下凹功函數層包括金屬功函數層。
  20. 如申請專利範圍第17項所述的結構,其中,該閘極材料包括金屬閘極材料,且該結構進一步包括該閘極材料的該上表面,其設置在該凹陷的至少一個共形閘極層的該上表面上方。
TW104122119A 2014-08-18 2015-07-08 具有共面下凹閘極層之半導體結構及製造方法 TWI577013B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/461,887 US9252238B1 (en) 2014-08-18 2014-08-18 Semiconductor structures with coplanar recessed gate layers and fabrication methods

Publications (2)

Publication Number Publication Date
TW201614834A TW201614834A (en) 2016-04-16
TWI577013B true TWI577013B (zh) 2017-04-01

Family

ID=55175036

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104122119A TWI577013B (zh) 2014-08-18 2015-07-08 具有共面下凹閘極層之半導體結構及製造方法

Country Status (3)

Country Link
US (1) US9252238B1 (zh)
CN (1) CN105374670B (zh)
TW (1) TWI577013B (zh)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9818841B2 (en) * 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107301950A (zh) * 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108269847A (zh) * 2016-12-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10008385B1 (en) * 2017-06-02 2018-06-26 Globalfoundries Inc. Enlarged sacrificial gate caps for forming self-aligned contacts
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
CN109285808A (zh) * 2017-07-20 2019-01-29 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10600876B2 (en) * 2018-05-08 2020-03-24 Globalfoundries Inc. Methods for chamfering work function material layers in gate cavities having varying widths
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN113314605B (zh) * 2020-02-26 2023-11-21 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220293608A1 (en) * 2021-03-15 2022-09-15 Nanya Technology Corporation Semiconductor structure having buried word lines and method of manufacturing the same
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140217482A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits having replacement gate structures and methods for fabricating the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6677205B2 (en) * 2001-09-28 2004-01-13 Infineon Technologies Ag Integrated spacer for gate/source/drain isolation in a vertical array structure
US8012848B2 (en) * 2007-08-16 2011-09-06 International Business Machines Corporation Trench isolation and method of fabricating trench isolation
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US8951855B2 (en) * 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
CN103794505B (zh) * 2012-10-30 2016-04-20 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140217482A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits having replacement gate structures and methods for fabricating the same

Also Published As

Publication number Publication date
CN105374670B (zh) 2019-06-07
US9252238B1 (en) 2016-02-02
US20160049495A1 (en) 2016-02-18
TW201614834A (en) 2016-04-16
CN105374670A (zh) 2016-03-02

Similar Documents

Publication Publication Date Title
TWI577013B (zh) 具有共面下凹閘極層之半導體結構及製造方法
TWI707397B (zh) 半導體裝置及其形成方法
TWI557905B (zh) 半導體裝置及其製造方法
TWI565074B (zh) 半導體結構與其製備方法
US10043887B2 (en) Methods for forming a semiconductor device with a gate stack having angled sidewalls
US9412837B2 (en) Methods of manufacturing semiconductor devices
US10522411B2 (en) Method for forming semiconductor device structure with gate
TW201606991A (zh) 半導體裝置及其製造方法及積體電路裝置
TWI567981B (zh) 鰭部件的結構及其製造方法
US10483377B2 (en) Devices and methods of forming unmerged epitaxy for FinFet device
US9257516B2 (en) Reduction of oxide recesses for gate height control
TWI645459B (zh) 半導體結構及其製造方法
US8772148B1 (en) Metal gate transistors and fabrication method thereof
JP6386133B2 (ja) ラップアラウンド接点集積方式
US10297454B2 (en) Semiconductor device and fabrication method thereof
US20160307897A1 (en) Semiconductor devices
US9184288B2 (en) Semiconductor structures with bridging films and methods of fabrication
TWI622094B (zh) 半導體裝置與其製造方法
US9960275B1 (en) Method of fabricating air-gap spacer for N7/N5 finFET and beyond
KR101959626B1 (ko) 반도체 장치 및 형성 방법
US9608087B2 (en) Integrated circuits with spacer chamfering and methods of spacer chamfering

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees